低频数字式相位测量仪毕业论文

上传人:仙*** 文档编号:78361301 上传时间:2022-04-21 格式:DOC 页数:32 大小:2.49MB
收藏 版权申诉 举报 下载
低频数字式相位测量仪毕业论文_第1页
第1页 / 共32页
低频数字式相位测量仪毕业论文_第2页
第2页 / 共32页
低频数字式相位测量仪毕业论文_第3页
第3页 / 共32页
资源描述:

《低频数字式相位测量仪毕业论文》由会员分享,可在线阅读,更多相关《低频数字式相位测量仪毕业论文(32页珍藏版)》请在装配图网上搜索。

1、 摘 要频率、相位测量仪器在生产和科研的各个部门被广泛应用。实现测量的数字化.自动化.智能化已成为现在应用的需要,对测量精度的要求也越来越高。针对传统的测频法和测周法测量精度不高的缺陷,即测频法不宜测低频和测周法不宜测高频.本论文介绍了一种基于数字频率计原理,以AT89C51单片机为控制器件的新型频率测量方法:等精度测量方法。利用同步门控制单片机的双计数器进行“相关计数”,应用单片机的数学运算和控制功能,实现了高低频率等精度测量,克服了通用频率计由于1MSB误差在高低频段测量精度不等的缺陷,既满足测量精度的要求,又满足系统反应时间的要求。用此方法实现的频率计具有高精度、低成本、易改进的特点,具

2、有一定的实用性。本文主要介绍了其系统构成和工作原理,以及系统的软硬件设计。本论文基于相位数字化原理,针对传统的数字式相位计采用的脉冲填充计数法测量精度不高,误差大的缺陷,利用单片机与外部电路相结合,采用高频脉冲填充计数,多周期等精度测量的方法实现了相位差的高精度测量,着重介绍系统原理及软硬件实现方法。关键词:单片机;等精度;误差;测相仪;相位差;计数器abstractFrequency and phase measurements in production and research equipment widely used in all sectors. Achieve digital m

3、easurements. Automation. Intelligent applications has become necessary now to the measurement accuracy requirements are increasingly high. In traditional law and geodetic survey frequency measurement accuracy is not high Week law wrong on a theory based on the number of frequencies to AT89C51 Danpia

4、nji control devices for the new frequency measurement methods : such precision measurements. Use Chanpianji double rod relevant calculations, the application Danpianji the arithmetic and control functions, such as achieving a high frequency precision measurements overcome +1MSB overall frequency of

5、errors in the measurement of low frequency bands ranging from precision errors to meet the measurement accuracy requirements and system response time meet the requirements. The frequency of use of this method to achieve a high-precision, low-cost, easy to improve features, a certain relevance. This

6、article introduces the principles of its composition and working systems, as well as system software and hardware design. This paper based on the principles of 13,800 digitized against traditional digital phase of a pulse recharge count law is not high precision measurements, error big mistakes, and

7、 the use of external circuits Chanpianji combined using HF pulse recharge number, such as multi-cycle approach to achieve precision measurements of the high-precision measurement of transmitters, highlight the principles and system software and hardware to achieve.Keywords:Chanpian; such precision;

8、Error; Testing of devices; Pairs; Counter 目 录绪 论1第一章系统工作原理311 频率测量原理312 相位测量原理4第二章系统总体设计思路及方案分析521 测频5211 脉冲数倍频测频法5212 脉冲数分频测频法5213 测频-测周结合法5214 多周期等精度测量方法622 测相8221 脉冲填充计数测相法8222 多周期等精度测相法8第三章系统硬件设计电路1131 测频电路设计11311 信号放大整形电路11312 外部分频电路13313 同步门逻辑控制电路14314 与单片机接口显示电路15315 扩展报警电路1632 测相电路设计18321 前级

9、放大整形电路18322 相位差测量电路20323 相位极性判别电路21第四章系统软件设计2241 主要任务2242 系统流程设计2243 总体流程图23结论与分析24致谢25参考文献26附录27绪 论随着无线电技术的发展与普及,“频率”已成为广大群众所熟悉的物理量调节收音机上的频率刻度盘可使你选听到你喜欢的电台节目;调节电视机上的微调旋钮可使得电视机对准电视台的广播频率,获得图象清晰的收看效果,这些已成为人们的生活常识。频率的应用在当代高科技中显的尤为重要,例如,邮电通讯,大地测量,人造卫星的导航定位控制都与频率密切有关,其精密度与准确度比人们日常生活中的要求高的多罢了。相位测量技术在国防.科

10、研.生产等各个领域都有广泛应用,特别在电力.机械等部门要求精度测量低频相位,采用传统的模拟指针式相位测量仪表显然不能够满足所需的精度要求。随着电子技术与微型计算机技术的发展,数字式仪表因其高精度的测量分辨率以及高度的智能化.直观化的特点得到越来越广泛的应用,对相位测量的要求也逐步向高精度.高智能化方向发展。可见,随广泛应用的需要,高精密.高准确.高智能化是大势所趋.一般的测量仪测量范围有限,随着电子技术的发展,高频信号的测量也越来越受的亲睐,实现测量的数字化.自动化.智能化已成为各类仪器仪表的设计方向。现在频率的测量仪器突破传统的测量方法,以单片机为核心来设计的,利用外围电路,软硬件结合,实现

11、了测量量程的自动切换,具有较高的测量精度和较短的系统反应。这样设计测量误差小,价格低,结构简单,适应了发展的需要。相位测量也是以单片机为核心的,利用单片机的高数据处理能力,存储容量大,较多的并行口能满足外围设备.芯片扩展需要。数字显示相位仪不断的涌现,具有速度高.只能化.电路简单.工作可靠等特点。随着科技的发展,频率的测量应趋于以下几个特点: 测量精度高。由于有着各种等级的时频标准源,而且采用无线电波传递标准时频方便.迅速.实用。所以在人们能进行测量的成千上万个物理量中,频率测量所能达到的分辨和准确度是最高的。测量范围广。现代科学技术中所涉及到的频率范围是极其广泛的,从百分一赫兹甚至更低频率开

12、始,一直到10K Hz以上。处于这么宽范围内的频率都可以做到高精度的测量。频率信息的传输和处理,如倍频.分频和混频等都比较容易,并且精度也很高,这使得对各不同频段的频率测量能机动.灵活的实施。相位的测量应更趋于数字化.智能化.精确化。本论文设计的主要任务为:设计并制作一个频率计,包括: 完成频率计电路设计,实现对010KHZ信号频率的测量。 频率测量误差小于5HZ。 频率计数器8位数字显示电路,完成显示自检.初始化和测量结果的显示。 设计测量超限报警电路设计并制作一个相位测量仪,包括: 设计相位测量电路,对1MHZ信号频率的两个信号进行相位的测量,两信号的相位差90度。 相位测量误差小于5度c

13、 显示相位测量结果,标记出超前.滞后。 本论文主要详细介绍系统的硬件设计,共分为四章,第1章是“系统的工作原理”,介绍了传统的测频和测相的工作原理,是本设计的依据和出发点。第二章是“系统总体设计及思路分析”,着重介绍了本设计的大体思路和不同的设计方案,并比较了不同方案的优缺点,选择出设计的最佳实现方法。第三章是“系统的硬件设计”,分模块具体介绍了系统的硬件设计实现方法,对所用芯片、功能原理和参数计算都作了详尽的介绍。第四章是“系统的软件设计”,对基于系统硬件设计的软件实现方法作了大概的讲解,以便对总体的软件设计有所了解。东华理工学院毕业设计(论文) 系统工作原理第一章 系统工作原理11 频率测

14、量原理若某一信号在T秒内重复变化N次,则根据频率的定义,可知该信号的频率Fzw为: Fz=N/T由此传统的测频方法通常有两种:一是直接测频法,二是测周法。所谓的直接测频法是根据频率的定义,把被测频率信号经脉冲形成电路后,加到闸门的一个输入端,只有在闸门开通时间T(以秒计)内,通过计数器计数被测信号的脉冲周数N,从而通过频率定义计算出被测频率。直接测频的实现框图如图所示,脉冲形成电路将被测信号转变成脉冲,其重复频率等于被测信号频率fx,将它送入闸门。闸门的开闭时间由门控信号控制。脉冲为在开门时间T内通过闸门的脉冲,被送至计数器计数,时基信号发生器产生准确的开门时间T,若在开闸期间计数器计数值为N

15、,则被测信号频率为:fx=N/T 图1-1 直接测频法原理框图根据误差绝对值合成法则,直接测频误差为: 上式右边第二项通常忽略不计,当被测信号频率低时,那么产生的误差就较大了,所以测频不宜用于测量低频信号。所谓的测周法是通过测量被测信号的周期来计算频率的,其测量原理框图如图所示。被测信号经脉冲形成电路变成方波,加到门控电路形成门控信号Tx控制闸门开闭,在开闸期间,周期为To的时基信号通过闸门送计数器计数。设电子计数器计得的时钟脉冲个数为N,则有:Tx=NT0fx=1/Tx=1/NT0=f0/N 图1-2 测量周期的原理这种测量方法产生的总误差为两项合成值:上式右边第一项为1误差,第二项为标准频

16、率误差,通常可忽略不计。可见当T0一定时,被测信号频率fx愈高,Tx愈小,由1误差引起的测量误差就愈大,所以测周法不宜用于测量高频率信号。12 相位测量原理信号波形的表达式为U=msin(t0) 式中m是电压振幅;为角频率;0为初相位。设两同频率的正弦波信号为 1=1sin(t1) 2=2sin(t2)相角差为=12是一个常数,并且等于两正弦量的初相之差。传统测相方法比较多,有用示波器测量的,但这样直接测量的误差比较大。有把相位差转换为电压,即利用非线性器件把被测信号的相位差转换为电压或电流的增量,在电压表或电流表盘上刻度上的相位刻度,由电表指示可直读被测信号的相位差。有把相位差转换为时间间隔

17、进行测量。测量出两正弦波过零点的时间差T和其周期T,则=(T/T)180。东华理工学院毕业设计(论文) 系统总体设计思路及方案分析第二章 系统总体设计思路及方案分析21 测频 结合传统的测频方法,实现一个宽频域.高精度的频率计,直接用传统的测周或者测频法难以实现,测周法在高频段误差较大,而测频法在低频段的误差较大。211 脉冲数倍频测频法此法克服了传统的测频在低频测量时精度不高的缺陷。通过A倍频,把待测信号频率放大A倍,以提高测量精度。其待测频率为:fx=NAT但待测信号脉冲间隔减小,间隔误差降低,控制电路较复杂。212 脉冲数分频测频法此法克服了传统的测周期法在测高频精度不高的缺陷。由于传统

18、测周法测量时要求待测信号的周期不能太短,所以可通过A分频使待测信号的周期扩大A倍,所测频率为: fx=AN/T精度在高频虽然有所提高,但控制电路有点复杂。213 测频-测周结合法鉴于两种测量方法的测量缺陷。由此想到将两者结合,同时使用两种方法,在高频段用测频法,在低频段用测周法,设置一个划分界限,例如10KHZ,用软件来实现量程的自动切换。其系统框图为:图2-1 系统框图这样测量的误差比较大,因为闸门的开闭与被测脉冲周期没有联系,即不同步,设T为门控闸门时间,Tx为被测信号周期,t1为闸门开启到第一个计数脉冲之间的间隔,t2为闸门关闭到下一个计数脉冲之间的间隔,N为计数器的计数值,那么:T=(

19、N1)Txt1(Txt2)=NTXt1t2N=T/TxN=t1/Txt2/Tx这样所计的数N的误差N就比较的大。214 多周期等精度测量方法为避免以上缺陷,实现高精度的测量,可以采用多周期同步测量方法。用该方法测量可以直接读出频率值和周期值,可以在全频段上使测量精度保持一致,实现等精度测量。测量原理图如下:图2-2 测量原理图当测量开始后,由被测信号的上升沿同时打开预置门和同步门启动两个计数器分别对标准频率信号和待测信号同时开始计数。到达预置时间Tg后,预置门关闭,但两个计数器并不停止计数,随后而至的待测信号的上升沿到来时,同步门关闭,两个计数器才同时停止计数,测得的计数值分别为N0和Nx。那

20、么:fx/Nx=f0/N0对其进行误差分析:设所测频率的准确值为fx0。在一次测量中,由于fx计数的停止时间是由该信号的上升沿控制的,因此,在Tg时间内对fx的计数Nx无误差。在此时间内f0的计数N0最多相差一个脉冲,即N01,则下式成立:fx/Nx=f0/N0fx0/Nx=f0/(N0N0)由此可分别摧得:fx=(f0/N0)Nxfx0=f0/(N0N0)Nx根据相对误差公式有:fx0/fx0=fx0fx/fx0将上面的式子进行整理后可得:fx0/fx0=N0/N0因为 N01所以 N0/N01/N0即相对误差: f=fx0/fx01/N0其中: N0=Tsf0由上式可以得出结论:相对误差f

21、与被测信号频率无关;增大Tg或提高f0可以增大N0,从而减小测量误差,提高测量精度;测量精度与预置门宽度和标准频率有关,与被测信号频率无关;标准频率误差为f0/f0,由于石英晶体的频率稳定度很高,标准频率误差很小。 由于控制计数的两闸门的大体时间Tg是由人工预置的,通常Tg不一定是被测信号的整数倍,因此用同步门控电路将Tg延长至TX保证闸门与被测信号同步,使闸门时间准确地等于被测信号周期的整数倍数,由于闸门时间与被测信号同步,Nx不存在1的计数误差,使得测量误差与被测信号无关,这样,可以通过对较少的低频脉冲的测量达到同样的精度,提高了对低频信号的测量速度。等精度测频的原理框图如下: 图2-3

22、等精度测频原理框图22 测相221 脉冲填充计数测相法基于测相原理:把相位差转换为时间间隔,先测量出时间间隔再换算为相位差,采用脉冲填充计数法,将正弦波信号整形成方波信号,其前后沿分别对应于正弦波的正相过零点与负相过零点,对两路方波信号进行“异或”操作后得到这两路信号的相位差信号A,将相位差与晶振的基准频率信号B进行“与”操作,得到一系列的高频窄脉冲序列C。使用两个计数器分别对该脉冲序列和基准源脉冲序列进行同时计数得到两个计数值N0和N1,再对计数进行计算处理,即可得出两信号的相位差: =(N1/N2)180.这种单周期的对相位的测量计数方法,测量误差有点大,因为计数器是用单片机的定时/计数器

23、T0.T1进行计数的,开始计数时与被测信号不同步,计数一定时间后停止计数也是随机的,与被测信号无关,这样的话测得的脉冲个数与实际脉冲数就存在1误差,大大影响了测量精度。图2-4 测相的原理框图222 多周期等精度测相法基于测频等精度测量法思想,实现相位差的高精度测量,通过同步门控制使测量信号的宽度为输入信号的整数倍,实现多周期同步等精度测量。设置预置闸门时间T1,同步控制电路使计数时间T2延长至被测信号脉冲的整数倍,使计数时间与被测信号脉冲保持同步,大大提高了测量精度,测量原理图如下:图2-5 测相等精度测量原理图其系统框图为: 图2-6 测相系统框图其中8MHZ的晶振信号的由下图产生:图2-

24、7 8MHZ晶振产生电路这是在多谐震荡器电路中接入石英晶体,组成的石英晶体多谐震荡器.输出的频率稳定性比较高,精度高.这种方法是对前一种的完善,都是将相位差转化成时间测量的方式,但前一种误差较大,精度不高,后一种采用多周期等精度测量的方法,通过同步控制器,使测量闸门控制宽度是被测信号的的整数倍,提高的精度,同时扩展了测量的范围,对高频采用了分频技术,要直接测到频率太高的信号,硬件设备要求也必须高,成本也高,为减少成本,提高测量范围,对频率太高 的信号在测量前对它实行分频,例如100MHZ的信号经过200分频后就成500KHZ,这样的频率89C51单片机是能接受的,实现了测量范围的扩展。等精度测

25、量相位的误差来源与等精度测频相同,主要是来自与量化误差1/n,要尽量减少误差,应采用多周期平均值法,即多次测量取平均值。东华理工学院毕业设计(论文) 系统硬件设计电路第三章 系统硬件设计电路31 测频电路设计3、1、1 信号放大整形电路 一般被测信号都是小功率的正弦波,在被测之前要转换成等频率的方波,所以在被测之前对信号要进行放大整形处理,放大器的品种很多,我们在着选择用价格便宜的带有真差动输入的四低频率运算放大器LM324,与单电源应用场合的标准运算放大器相比,它有一些显著的优点。该四放大器可以工作在低到3.0伏或者高到32伏的电源下,静态电流大致为MC1741的静态电流的五分之一(对每一个

26、放大器而言)。共模输入范围包括负电源,因而消除了许多应用场合中采用的外部偏置元件的必要性。输出电压范围也包含负电源电压。它有如下特点:短路保护输出。真差动输入级。单电源工作:3.0伏到32伏。低输入偏置电流:最大100纳安。每一封装四个放大器,内部补偿。共模范围扩大到负电源。在输入端的静电放电箱位增加可靠性而不影响器件工作。每一组运算放大器可用图1所示的符号来表示,它有5个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端,“Vo”为输出端。两个信号输入端中,Vi-(-)为反相输入端,表示运放输出端Vo的信号与该输入端的相位相反;Vi+(+)为同相输入端,表示运放输出

27、端Vo的信号与该输入端的相位相同。LM324的引脚排列见图3-1。 图3-1 LM324引脚 其放大电路如图所示 图3-2 放大电路1 图3-3 放大信号1其中Ci起隔直通交的作用,通常用0.47uF,电路的电压放大倍数Av仅由外接电阻决定:Av=1+Rf/R4。在这里设置放大倍数为11,选择Rf为10K,R4为100K,因为考虑到LM324的工作电压范围大从3伏到32伏。这样设计的同相交流放大器的输入阻抗高,R1和R2提供基准偏置电压为1/2Vcc,保证输出的波形不失真的被放大,如果不提供偏置电压,那么只有正半周期的信号能通过,负半周期的信号就被隔掉了。R3为输入阻抗选择阻值为100K,C2

28、是滤波电容,电容值为4.7uF,稳定偏置电压的作用,当电压低时放电,电压高时充电,保证了偏置电压的稳定。是输入信号总体抬高了1/2Vcc,保证了全信号放大。因LM324共模范围扩大到负电源,也可以这样设计放大电路,比上电路更简便,不用提供偏置电压,让其接正负电源,这样信号的负半部分就可以通过了。其电路图 图3-4 放大电路2 图3-5放大信号2放大倍数AV=1+Rf1/Rf2,R为匹配电阻,一般取值为Rf1与Rf2的并联电阻值。 整形的实现选择电压比较器LM339芯片来实现,电压比较器是对输入信号进行鉴幅与比较的电路,是组成正弦波发生电路的基本单元电路,LM339集成块内部装有四个独立的电压比

29、较器,该电压比较器的特点是:(1)失调电压小,典型值为2mV;(2)电源电压范围宽,单电源为2-36V,双电源电压为1V-18V;(3)对比较信号源的内阻限制较宽;(4)共模范围很大,为0(Ucc-1.5V)Vo;(5)差动输入电压范围较大,大到可以等于电源电压;(6)输出端电位可灵活方便地选用。外型及管脚排列如下图所示: 图3-6 LM339引脚图LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM33

30、9输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。其

31、整形电路如图所示:图3-7 整形电路要将正弦波进行整形,将LM339构成迟滞比较器,跳变点为一固定值,由R1和滑动变阻器来决定跳变电压,选择跳变值大致为2伏,R1为10K。在正反馈电路中接入一个非线性元件晶体二极管,加快比较器的响应速度,免除由于电路寄生耦合而产生的自激振荡。利用二极管的单向导电性,分辨差别小于U的两个输入电压值。312 外部分频电路该电路主要是来扩展测频上限的,扩大频率测量范围的。因设计要求测频范围是010KHZ,是以单片机为核心的,从理论上讲,当单片机系统的时钟频率为12MHZ时,其内部计数器的最大计数频率为500KHZ,考虑到信号的占空比等因素,实际测量的最高频率低于50

32、0KHZ。为实现设计的要求,必须对高于500KHZ的信号进行分频处理,系统在单片机的控制下,结合分频电路,实现了测频的上限的扩展和测量量程的自动切换,提高了频率计的实用价值和智能化程度。分频的实现可以用一片计数器芯片8254,成本低,电路简单,先介绍一下8254芯片,8254是可编程定时/计数器,工作的最高频率为10MHZ,每个芯片内部有三个独立的计数器,每个计数器都有自己的时钟输入CLK.计数输出OUT和门控制信号GATE,门控信号为输入信号,用来禁止.允许或开始计数过程的。它有六种不同的工作方式,GATE信号的控制作用也不同。它的工作方式2是一种具有自动装入时间常数的N分频器。其工作特点为

33、:计数器计数期间,输入OUT为高电平,计数器回零时,输出一个宽度等于时钟周期的负脉冲,并自动重新装入园计数初值,一个负脉冲过去后,输出有恢复高电平并重新作减法计数。在计数器工作期间,如果向此计数器写入新的计数初值,则计数器仍按原计数值计数,直到计数器回零并在输出一个时钟周期的负脉冲之后,才按新写入的计数值计数。门控信号GATE为高电平时允许计数。要不要分频是用软件来判断自动实现的,利用GATE的控制作用,把它与单片机的一个I/O口P1.0相连,用其来控制计数器的工作,当软件识别不需要分频时,使GATE为低电平,计数器不工作,当需要分频时,把GATE门打开,设置好分频数N,就能实现所需的分频了。

34、其与单片机的接口电路如图所示: 图3-8 分频电路313 同步门逻辑控制电路同步门逻辑控制电路由D触发器构成,这种触发器的动作特点是输出端状态的转换发生在CP的上升沿,而且触发器所保存下来的状态仅仅取决于CP上升沿到达时的输入状态。因为触发器输入端状态转换发生在CP的上升沿,那么可以利用这种特点来实现实际闸门信号和被测信号的同步。在测量开始后,利用单片机的P1.0作为预置门信号Tg的输出线,当P1.0=1时,在被测信号的上升沿作用下D触发器的输出Q=1,使得单片机的INT0.INT1同时为1,启动单片机内部的定时/计数器开始工作。其中,T0对被测信号fx进行计数,T1对内部频标f0进行计数。当

35、预置门时间到达Tg后,预置门时间到达Tg后,预置门关闭使得P1.0=0,但触发器的输出Q仍然为1,因此两个计数器并不停止计数,直到随后而至的待测信号的上升沿到来时,才使得D触发器的输出Q=0,同步门关闭,两个计数器才同时停止计数。同步门控制电路与单片机的接口电路如图所示:图3-9 同步门控制电路314 与单片机接口显示电路单片机是电路的核心工作部分,它实现对电路的控制,数据的处理。显示电路采用静态显示方式。频率测量结果经过译码,同过单片机的串行口送出。89C51有四个八位的并行I/O口,用它们来控制外围电路,片内有两个16位的定时器/计数器T0.T1,两个外部中断源INT0.INT1,用来对测

36、量被测信号和单片机内部频标的脉冲进行计数,将计的数然后送微处理器处理,因被测信号的频率高达10KHZ,要用数码管显示出数据至少要用八个数码管,单片机的八个并行口显然远远的不够用,需要扩展,单片机有一个串行口,可用来进行串行通信,扩展并行I/O口,既不占用片外的RAM地址,又节省硬件开销,是一种经济.实用的方法。这里选用74LS164来扩展并行输出口,74LS164是八位串入并出移位寄存器,先简单介绍一下74LS164,其引出端的符号为:CLOCK 时钟输入端 CLEAR 同步清除输入端(低电平有效)A ,B 串行数据输入端QA-QH 输出端当清除端(CLEAR)为低电平时,输出端(QA-QH)

37、均为低电平,串行数据输入端(A.B)可控制数据,当A.B任意为一个低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0为低电平。当A.B有一个为高电平,则另一个就允许输入数据,并在CLOCK上升沿作用下决定Q0的状态。让单片机的串行口工作在方式0的发送状态,串行数据由RXD送出,让RXD与74LS164的A或B端任一端相接,移时时钟由TXD送出,让起与CLOCK相连。清除端CLEAR与单片机的一个I/O口相连,让单片机来控制。有了移位寄存器就可任意扩展并行口了,在这里用八片74LS164扩展八个8位的并行输出口。74LS164将串行数据换成并行数据,进行锁存。然后让74LS16

38、4与数码管连接,就完成了显示电路,选用数码管有两种:共阴和共阳的。共阴极的发光二极管的阴极连接在一起,通常此公共阴极接地。当某个发光二极管的阳极为高电平时,发光二极管电亮,相应的段被显示。共阳极的阳极连接在一起,通常此公共阳极接正电压,当某个发光二极管接低电平时,发光二极管被电亮,相应的段被显示。所以在用之前要先检测其为哪种数码管。数码管也不能直接和74LS164直接相连,因为它的工作允许电流为6MA-30MA,所以之间要接一定阻值的电阻,作限流作用,一般选用100-300的,选用的电阻不同,其数码管的亮度也不同。在这里选择100的。 使用这种方法主程序可不必扫描显示器,从而单片机可以进行下一

39、测量。这种方法也便于对显示位数进行扩展。单片机的接口显示电路如图所示:图3-10 显示电路315 扩展报警电路作为测量仪器,一般都有它的测量范围,。在这里设计的这样一个测频计同样要考虑到这样一个问题,万一超出了测量的范围后怎么办呢?日常生活中,当发生故障或处于紧急状态时,一般用警觉的报警信号或者提示信号来提醒人们,受此启发,不妨也设计一个报警电路,当测量超过其范围时系统发出报警声来提示。警报电路是用硬件来实现的,先将频率转换成电压,并计算出8MHZ频率转换出的电压,设值为V1,再用一电压比较器把转换后的电压与V1进行比较,如果比V1值大,说明所测频率超限,那么启动报警信号使蜂鸣器响,并与单片机

40、相连控制显示器使其不显示。如电压比V1值小则说明没超限,照常工作。实现频率/电压的转换,有专门的芯片,在这里选用LM2907频率电压转换器。LM2907为集成式频率电压转换器,芯片中包含了比较器、充电泵、高增益运算放大器,能将频率信号转换为直流电压信号,外型管脚如下图所示:图3-11 LM2907引脚各引脚功能如下:脚(F)和11脚(IN-)为运算放大器比较器的输入端;脚接充电泵的定时电容(C1)脚接充电泵的输出电阻和积分电容(R1/C2)脚(IN+)和10脚(UF1)为运算放大器的输入端;脚为输出晶体管的发射极(U0)脚为输出晶体管的集电极,一般接电源(UC)脚为正电源端(VCC);12脚为

41、接地端(GND);,13,14脚未用其工作原理为:当充电泵把从输入级输入来的频率转换成为直流电压时,需外接定时电容C1、输出电阻R1以及积分电容或滤波电容C2,当第一级输出的状态发生改变时(这种情况可能发生在输入端上有合适的过零电压或差分输入电压时),定时电容在电压差Vcc/2的两电压值之间被线性地充电或放电,在输入频率信号的半周期中,定时电容上的电荷变化量为C1*Vcc/2,泵入电容中的平均电流或流出电容中的平均电流为:Ic(AVG)fin* C1*Vcc 输出电路把这一电流准确地送到负载电阻(输出电阻)R1中,R1电阻的另一端接地,这样滤波后的电流被滤波电容积分后得到输出电压:Vcc*fi

42、n* C1*R1* K其中为增益常数,典型值为。电容C2的值取决于纹波电压的大小和实际应用中所需要的响应时间。一般LM2907工作频率为几十K到几百K赫兹,工作电压接5V,超限频率为8MHZ,所以必须接一分频器,把8MHZ的信号先分频后才能接入LM2907,在这里用77LS393四位双计数器(相当于256分频),8MHZ的信号经256分频后为32KHZ,在LM2907的工作范围内。定时电容C1可为充电泵提供内部补偿,为了获得准确的转换结果,其值应大于500pF,太小的电容值会在R1上产生误差电流,特别在低温应用时更是如此。所以选择C1为1000PF,R1为10K。C2为0.47uF,8MHZ所

43、对应的电压为1.6V。其转换电路如下图所示:图3-12 频率/电压转换电路将转换后的电压接如一电压比较器,这里就用前面介绍的LM339来实现,因8MHZ所对应的电压为1.6V,1.6V的比较电压比较难实现,试设置为1V,选择R1为20K,R2为40K(两个20K串联)。LM339的输出端接入单片机的P1.5,通过查询P1.5口的状态,若为高电平,使P1.6输出高电平,经7406反向后得低电平,在压电蜂鸣器上加上了近5V的直流电压,由压电效应而发出蜂鸣音。若为低电平,使P1.6输出低电平,7406输出高电平,约+5V,压电蜂鸣器两端的直流电压降至接近0V,就不会发音。其电路实现图如下所示:图3-

44、13 报警电路32 测相电路设计321 前级放大整形电路这部分电路主要是由电压跟随器.放大器和比较器组成的,可完成对两列同频信号的放大.整形,取出相位差信号。信号先经一级电压跟随器后再进行放大,电压跟随器可以提高测量仪的输入阻抗。电压跟随器选用价格便宜的带有真差动输入的低频率四运放大器LM324,这个芯片在前面有介绍。放大器也选用LM324。其电路图如下所示:图3-14 前级信号放大电路在这里放大器为什么选择前面介绍的前一种而不用电路简单的第二种,将信号整体抬高一定电压有利于后级的整形电路能输出对称的方波。整形电路用的是迟滞比较器,用一滑动变阻器来调整跳变电压,以便输出对称的方波,如处理不当可

45、能输出的就不是对称的方波了。用LM339构成迟滞回环比较器,目的是为了有效地避免过零信号的干扰和抖动所引起的电压跳变,在单限比较器中,输入电压在阀值电压附近的任何微小变化,都将引起输出的跃变,不管这种微小变化是源于输入信号还是外部干扰,迟滞比较器具有滞回特性,具有一定的抗干扰能力,两阀值电压的差值愈大,电路的抗干扰能力愈强。最后再通过一级单们限电压比较器输出TTL信号。经放大整形处理后的两信号输入到74LS136“异或”门得到相位差信号,以方波的脉冲序列输出,电路图如图所示:图3-15 前级整形电路在此,设置比较起的跳变电压为1伏,由R1.R2.Rf对电压的分压得到,选择R1为20K,R2为6

46、0K(三个20K的电阻串联得到),Rf为20K。 图3-16 放大整形波形图322 相位差测量电路先将相位差信号和8MHZ的晶振通过74LS00“与非”门后得到调制信号B,因测量是以单片机为核心的,不得不先考虑它的工作条件,单片机系统时钟频率为12MHZ,其内部计数器的最大计数器的最大工作频率为500KHZ,设计要求对高达1MHZ的信号能够测量,如对信号不进行处理,超过500KHZ的信号就不能被测到了,8MHZ的晶振信号也是不能被单片机接受的,所以必须对被测信号和晶振频率进行分频处理,分频器也是用前面介绍的8254计数/定时器,用一片就可实现了,只需用到其中的两个定时/计数器CLK0和CLK1

47、,对被测信号进行10分频,对8MHZ的晶振信号进行20分频,分频与否由单片机来控制,将GATE0.GATE1与单片机的I/O口相连,上电后,不经分频的信号经单片机处理,用软件来判断是否分频,如要分频,把GATE门打开,分频后的信号重新送单片机处理,然后再送数码管显示。分频部分电路如图所示: 图3-17 测相分频电路同步等精度测量部分:结合测频电路里同步门逻辑控制电路,同样用一D触发器来实现,思想与前面是一样的,电路也是一样的。显示部分:因设计要求两信号差小于90度,用两片数码管就可以满足设计要求了,同样是用串行口扩展并行口,接两片数码管就可以了。测量显示电路如图所示:图3-18 测相显示电路3

48、23 相位极性判别电路 相位测量电路中,只能给出相位差的大小,无法判断波形的超前或者滞后,必须设计一个电路来完成此功能,要解决判别出波形的超前或滞后,可以将整形后的两列方波波形分别输入到一个D触发器的D和CP端中进行相位极性判别,输出的信号送入单片机的I/O进行极性检测,判断出波形的超前或滞后,电路图如下,当U0超前U1时,D触发器的Q 端输出高电平;反之D触发器的Q端输出低电平。 图3-19 相位极性判别 但这样只是让单片机识别了相位的超前和滞后,人们还是不知道是超前还是滞后,必须将检测的结果进一步处理才行,怎样才能让人知道呢?最简单的就是用一发光二极管,用发光二极管的亮灭来代表相位的超前和

49、滞后。把一发光二极管接至单片机的一I/O口,I/O口输出的高低信号控制发光二极管的亮灭。这样人们就可以知道超前和滞后了。 (总的电路图见附录)第四章 系统软件设计41 主要任务 测频系统的软件的设计主要是保证和硬件电路结合,正确地实现等精度测量。其主要任务为:预置闸门P1.0,控制分频闸门P1.1,对被测信号和单片机内部频标计数,对数据进行处理,高精度运算,显示测量结果,控制报警。 测相其主要任务为:预置闸门P1.0,控制被测信号分频闸门P1.1,对相位差脉冲和晶振频率计数,对数据进行处理,显示测量结果,显示相位极性(是超前还是滞后)。42 系统流程设计鉴于测频和测相所用设计思路相同,即等精度

50、法。单片机处理的任务差不多,其不同之处在与对数据的处理不同。在开始工作后,或者完成一次频率测量,系统软件都进行测量初始化。测量初始化模块.自检。工作寄存器.定时/计数器的工作方式,设立标志位(用来判断被测信号是否要分频),外部计数器清零,清同步预置门,存储单元清空.定时/计数器的工作首先被设置为计数器方式,即用来测量信号频率。在对定时/计数器的计数器清零后,置运行控制位,启动对待测信号的计数,预置闸门由延时程序来实现。主程序用来读取计数值,并完成对其处理,判断是否分频,对分频器进行控制,查超限报警控制端。将数据送显示等功能。43 总体流程图 图4-1 测频流程图 图4-2测相流程图东华理工学院

51、毕业设计(论文) 结论和分析结论与分析 本文介绍了一种基于单片机89C51制作的频率.相位计的设计方法,所制作的频率.相位计需要的外围器件比较少,电路结构简单,适宜用于嵌入式系统。因所用芯片比较的常见,成本小,测量的频域宽,使用等精度测量的思想使精度比较高,具有体积小,功能强.可靠性好.显示稳定等特点,产品设计具有一定的创新与适用性,对电子产品的开发具有一定的参考价值。同时可以在测频上进行扩展,使其成多功能数字频率计,例如能测量信号的周期.脉宽.占空比等。并且具有一大优点功能:报警。这是一般频率计所没实现的。但由于硬件器件的限制,没达到设计的具体要求,设计要求频率计的测量范围为010KHZ的,

52、但由于所用分频芯片8254的最高工作频率为8MHZ,所以没达到设计要求,如改用其它工作频率高的芯片来实现,是可以达到设计要求的。另外报警电路设计部分,因是用硬件电路来实现的,受反应时间的限制.理论计算和实际值的误差,可能会有较大的误差。可以在这部分改进一下,例如不用电压比较器,把经频率/电压转换后的电压经一A/D转化,把模拟信号转化成数字信号,再由单片机强大的信号处理功能来实现报警。这种方法就比较精确。 致谢设计能顺利完成特别感谢谢指导老师朱兆优.王海涛老师的耐心指导,感谢他们在繁忙中抽出时间来给予大力的帮助和提出宝贵的意见。同时也感谢我身边的同学,我的搭档,在我遇到问题时去找他们时,他们都放

53、下自己的事,不厌其烦的给我讲解,并且给我提出新的思路供我参考,在此真诚的感谢他们,谢谢他们的帮助。 参考文献1 周航慈 朱兆优 李跃忠 .智能仪器原理与设计.北京航空航天出版社,2005.32 周航慈 . 单片机应用程序设计技术. 北京航空航天出版社,2004.13 张毅刚 . 单片机原理及应用 . 高等教育出版社,2004.14 童诗白 华成英 . 模拟电子技术基础. 高等教育出版社,2001(2003重印)5 阎石 . 数字电子技术基础 . 高等教育出版社,1998.12(2003重印)6 张永瑞 . 电子测量技术基础. 西安电子科技大学出版社,2004.77 邹道生 . 多功能数字频率计

54、的设计. 赣南师范学院学报.2004年6期8 刘乐善 . 微型计算机接口技术及应用. 华中科技大学出版社,2000.49 姜玉宏 . 基于MCS-51单片机的高精度数字测相方法. 重庆大学学报.2004年4期10 赫建国 . 基于单片机的频率计设计. 西安邮电学院学报.2005年5期11 YANG Ni-qing A Way to realize precise frequency measure by single chip micrcomputer JOURNAL OF SHANDONG UNIVERSITY12 WU Shen-shan The frequency measure miter with microprocessor journal of Henan Normal University13 SHAO Xiangbing The Emnerdded Design of the Intelligent Cymometer Based on AT89C2051 Journal of Nanjing Institute of Technology 附录 测频系统总图东华理工学院毕业设计(论文) 附录测相系统总图

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!