并行语句实用教案

上传人:莉**** 文档编号:76139828 上传时间:2022-04-17 格式:PPTX 页数:39 大小:382.33KB
收藏 版权申诉 举报 下载
并行语句实用教案_第1页
第1页 / 共39页
并行语句实用教案_第2页
第2页 / 共39页
并行语句实用教案_第3页
第3页 / 共39页
资源描述:

《并行语句实用教案》由会员分享,可在线阅读,更多相关《并行语句实用教案(39页珍藏版)》请在装配图网上搜索。

1、5.2 并行并行(bngxng)语句语句VHDL并行语句(yj):赋值语句(yj)PROCESS进程语句(yj)元件例化语句(yj)BLOCK块语句(yj)GENERATE语句(yj)第1页/共38页第一页,共39页。5.2.1 并行信号并行信号(xnho)赋值语句赋值语句 赋值语句在进程内使用是顺序执行,在进程外即在结构体中直接使用就是并行(bngxng)语句。 并行(bngxng)信号赋值语句有3种形式: 简单信号赋值 条件信号赋值 选择信号赋值第2页/共38页第二页,共39页。 简单信号简单信号(xnho)赋值语句赋值语句p 语句格式:p 目标(mbio)信号=表达式;p 例5-19:p

2、 architecture Behavioral of gate2 isp beginpout1=A and B;pout2=A or B;p end Behavioral;第3页/共38页第三页,共39页。 条件信号条件信号(xnho)赋值语句赋值语句p语句格式:p 目标信号=表达式1 WHEN 条件1 ELSEp 表达式2 WHEN 条件2 ELSE p 表达式3 WHEN 条件3 ELSE p p 表达式n; p 说明:p 从条件1开始逐项测定,一旦赋值条件为Ture,立即将相应表达式值赋给目标信号;p 其功能与进程中的IF语句相同;p 最后一项表达式可以不跟条件子句,以上(yshng)

3、所有条件都不满足时,将表达式n赋给目标信号。第4页/共38页第四页,共39页。 条件信号条件信号(xnho)赋值语句赋值语句p例5-20:pARCHITECTURE Arcmux OF mux4 ISpBEGINpy= i0 WHEN sel=00 ELSE - 条件代入语句(yj),p-句末无符号 i1 WHEN sel=01 ELSEp i2 WHEN sel=10 ELSE p i3;pEND arcmux; 第5页/共38页第五页,共39页。 选择信号选择信号(xnho)赋值语句赋值语句p语句格式:p WITH 选择条件表达式 SELECTp 目标信号=表达式1 WHEN 选择值1,

4、p 表达式2 WHEN 选择值2,p p 表达式n WHEN 选择值n,p 表达式 WHEN others; p 说明:p根据选择条件表达式取值,将相应选择值对应的表达式赋给目标信号;p该语句与CASE 语句相似,要求覆盖条件表达式的所有取值,并且不允许有条件重叠现象。p除最后(zuhu)一句外各子句句末全是,,而不是; 。第6页/共38页第六页,共39页。 选择选择(xunz)信号赋值语句信号赋值语句p例5-21:pARCHITECTURE Arcmux OF mux4 ISpBEGINpWITH SEL SELECTpy= i0 WHEN 00, -使用(shyng),p i1 WHEN

5、01,p i2 WHEN 10,p i3 WHEN 11,p Z WHEN others;pEND arcmux; 第7页/共38页第七页,共39页。5.2.2 PROCESS进程进程(jnchng)语句语句 进程语句是个复合语句,由顺序语句组成,进程内部的语句是顺序执行的。 在一个结构体中可以(ky)有多个进程,各个进程是并发执行的,即结构体中多个进程的执行与各进程的书写顺序无关。 多进程间的通信依靠信号来传递。第8页/共38页第八页,共39页。 语句格式: 进程标号:PROCESS (敏感(mngn)信号列表) 说明部分; BEGIN 顺序描述语句; WAIT UNTIL 条件表达式; W

6、AIT FOR 时间表达式; END PROCESS 进程标号;5.2.2 PROCESS进程(jnchng)语句第9页/共38页第九页,共39页。 语句组成: 敏感信号列表 列出启动进程的输入信号;也可以使用进程顺序部分的WAIT语句来控制进程的启动;WAIT语句和敏感列表只能出现一个,但可以有多个WAIT语句。 说明部分 用于定义一些进程内部有效的局部量,包括:变量、常数、数据类型、属性、子程序等,不允许定义信号。 顺序描述部分 描述进程模块的功能。一般采用IF语句描述算法,实现(shxin)模块的行为功能。5.2.2 PROCESS进程(jnchng)语句第10页/共38页第十页,共39

7、页。 进程特点: 进程状态 独立的无限循环(xnhun)程序结构。进程有两种运行状态,即执行状态(激活)和等待状态(挂起)。当敏感信号列表中信号有变化或者WAIT条件满足时,进程进入执行状态,顺序执行进程内顺序描述语句,遇到END PROCESS语句后停止执行,自动返回起始语句PROCESS,进入等待状态。5.2.2 PROCESS进程(jnchng)语句第11页/共38页第十一页,共39页。p进程的并行性p 进程内部虽然是顺序语句,但其综合后的硬件是一个独立模块,所以进程内部的顺序语句具有顺序和并行双重性;不同进程是并行运行的,进程之间的通信通过信号传递,这也反映了信号的全局(qunj)特征

8、。p 时钟驱动p 一般一个进程中只能描述针对同一时钟的同步时序逻辑,异步时序逻辑则需要由多个进程来表达。进程(jnchng)特点第12页/共38页第十二页,共39页。p组合(zh)进程p【例5-22 】pARCHITECTURE connect OF mux1 ISpBEGIN pcale: -进程名p PROCESS (d0,d1,sel) -输入信号为敏感信号p VARIABLE tmp1,tmp2,tmp3: std_logic;-在进程中定义变量p BEGINp tmp1:=d0 AND sel; -输入端口向变量赋值p tmp2:=d1 AND (NOT sel);p tmp3:=t

9、mp1 OR tmp2;p q=tmp3; -变量值赋给输出信号p END PROCESS cale; pEND connect;进程(jnchng)示例第13页/共38页第十三页,共39页。p时序进程p【例5-23】 pARCHITECTURE rig_d OF ffd ISpbeginp process (clk) -时钟为敏感信号p beginp if clkevent and clk=1 then-时钟clk的上升(shngshng)沿检测p q=d;p end if;p end process;pend rig_d;进程(jnchng)示例第14页/共38页第十四页,共39页。p多进

10、程(jnchng)并行p 【例5-24】pENTITY mul ISpPORT (a,b,c,selx,sely: IN BIT;p data_out: OUT BIT );pEND mul;进程(jnchng)示例第15页/共38页第十五页,共39页。ARCHITECTURE ex OF mul ISSIGNAL temp: BIT;BEGINp_a: PROCESS (a,b,selx)BEGINIF(selx = 0) THEN temp = a; ELSE temp = b;END IF;END PROCESS p_a;p_b: PROCESS(temp, c, sely)BEGINI

11、F (sely = 0) THEN data_out = temp;ELSE data_out = c;END IF;END PROCESS p_b;END ex;p多进程(jnchng)并行第16页/共38页第十六页,共39页。例6-16的综合(zngh)结果p多进程(jnchng)并行第17页/共38页第十七页,共39页。p异步时序(sh x)进程p【例5-25】pARCHITECTURE cnt_4 OF ffd ISpSignal q0,q1: std_logic;pbeginpp_a:process (clk) -时钟clk为敏感信号pbeginpif clkevent and cl

12、k=1 then -时钟clk的上升沿检测p q0=not q0;pend if;pend process p_a; 进程(jnchng)示例第18页/共38页第十八页,共39页。p_b:process (q0) -q0为敏感信号begin if q0event and q0=1 then -时钟q0的上升(shngshng)沿检测q1=not q1;end if;end process p_b;y映射信号1,元件端口n=映射信号n);l 其中,例化名相当于元件标号,是必须的;“=”是关联符,采用名称关联,表示左边的元件端口与右边(yu bian)的映射信号相连;各端口关联说明的顺序任意。 p

13、元件映射(yngsh)语句第26页/共38页第二十六页,共39页。p元件(yunjin)映射语句l格式二:位置关联方式l 例化名:Port map(映射信号1,映射信号2,映射信号n); l 使用位置关联,采用顺序一致原则,即将元件说明语句中的端口按顺序依次(yc)与映射信号1到映射信号n连接。l格式三:混合关联方式。l 即在关联多个端口时,某些端口采用位置关联方式,而其余的端口又采用名字关联方式。第27页/共38页第二十七页,共39页。ORD41逻辑(lu j)原理图 A1U1U2Z1U3B1C1D1ABABABCCCS1S2ND2ND2ND2层次化、结构化描述(mio sh)示例第28页/

14、共38页第二十八页,共39页。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ND2 IS PORT(A,B:IN STD_LOGIC; C:OUT STD_LOGIC);END ENTITY ND2;ARCHITECTURE ARTND2 OF ND2 ISBEGIN CC1,C=S2,B=D1);-名字(mng zi)关联方式 U3:ND2 PORT MAP(S1,S2,C=Z1); -混合关联方式 END ARCHITECTURE ARTORD41;p上层元件(yunjin)引用第31页/共38页第三十一页,共39页。p有复制作用,它可以生

15、成与某个元件或设计单元电路完全相同(xin tn)的一组并行元件或设计单元电路,避免多段相同(xin tn)结构的VHDL源代码的重复书写。p格式标号: generate 并行语句;END generate标号;5.2.5 生成(shn chn)语句第32页/共38页第三十二页,共39页。p说明:p 并行语句一般是元件例化语句或并行赋值语句;模式有for 模式(主要描述重复结构)、if 模式(用来描述结构中例外的情况)。p for 模式格式: for 循环变量 in 离散范围(fnwi) generate 并行语句; end generate;生成n个完全相同的并行语句指定的结构。主要用于描述

16、简单重复结构。5.2.5 生成(shn chn)语句第33页/共38页第三十三页,共39页。 for 模式模式(msh)例例5-28: adder_gen: for i in 0 to 3 generate U:adder1 port map(a=a(i),b=b(i),ci=cin(i), co=cin(i+1),s=s(i);end generate;5.2.5 生成(shn chn)语句第34页/共38页第三十四页,共39页。 if 模式模式格式格式(g shi): if (条件条件) generate 并行语句并行语句; end generate;实现有条件的复制,用来描述重复结构中例

17、外的情况。实现有条件的复制,用来描述重复结构中例外的情况。 5.2.5 生成(shn chn)语句第35页/共38页第三十五页,共39页。 if 模式模式(msh)例例5-29: adder_gen: for i in 0 to 3 generate low: if i=0 generate U1: adder1 port map(a=a(0),b=b(0), ci=ci, co=c(0),s=sum(0); end generate; other: if i/=0 generate U2: adder1 port map(a=a(i),b=b(i),ci=c(i-1), co=c(i),s=sum(i); end generate;end generate;5.2.5 生成(shn chn)语句第36页/共38页第三十六页,共39页。第五章 完第37页/共38页第三十七页,共39页。感谢您的观看(gunkn)!第38页/共38页第三十八页,共39页。NoImage内容(nirng)总结5.2 并行语句。根据选择条件表达式取值,将相应选择值对应的表达式赋给目标信号。进程有两种运行状态,即执行状态(激活)和等待状态(挂起)。q0=not q0。q1映射信号1,。,元件端口n=映射信号n)。感谢您的观看第三十九页,共39页。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!