毕业设计论文基于单片机洗衣机控制电路的设计

上传人:沈*** 文档编号:75647634 上传时间:2022-04-16 格式:DOC 页数:40 大小:393.53KB
收藏 版权申诉 举报 下载
毕业设计论文基于单片机洗衣机控制电路的设计_第1页
第1页 / 共40页
毕业设计论文基于单片机洗衣机控制电路的设计_第2页
第2页 / 共40页
毕业设计论文基于单片机洗衣机控制电路的设计_第3页
第3页 / 共40页
资源描述:

《毕业设计论文基于单片机洗衣机控制电路的设计》由会员分享,可在线阅读,更多相关《毕业设计论文基于单片机洗衣机控制电路的设计(40页珍藏版)》请在装配图网上搜索。

1、唐 山 学 院毕 业 设 计设计题目:基于单片机洗衣机控制电路的设计 信息工程系06应用电子技术(1)班 系 别:_ 班 级:_姓 名:_指 导 教 师:_2006年6月3日基于单片机洗衣机控制电路的设计摘 要本设计是以AT89C2051作为核心元件,由于AT89C2051的引脚少,内存容量不大,所以,所控制的洗衣机的功能有一定的限制,但是,由于洗衣机的基本功能是对衣物的洗涤,所以,关键在于进行洗衣程序的控制。从这一角度出发,对洗衣机的功能进行分析,设计的全自动洗衣机的主要功能有一下七项:具有强、弱洗涤功能;四种洗衣工作程序,既标准程序、经济程序、单独程序和排水程序;进、排水系统故障自动诊断功

2、能;脱水期间安全保护和防振动功能;间歇驱动方式;暂停功能;声光显示功能。关键字:AT89C2051、全自动洗衣机、工程设计、控制电路Washing machine control circuit based on single-chip design Abstract The AT89C2051 is designed as a core component, as a result of the pin AT89C2051 less memory capacity is not, therefore, under the control of the function of washing

3、machines have some limitations, however, as a result of the basic functions of washing machine is washing clothes, so the key Laundry is to process control. From this perspective, the function of the washing machine for analysis, design of automatic washing machines have the look of the seven main f

4、unctions: with strong and weak washing function; four Laundry work procedures, not only standard procedures, the economic process, separate procedures and drainage procedures; into the drainage system fault diagnostic function automatically; dehydration during the security protection and anti-vibrat

5、ion function; intermittent drive; suspended function; sound and light display. Keywords: AT89C2051, fully automatic washing machines, engineering design, control circuit目 录1 引言12.1方案选择22.1.1全自动洗衣机的控制功能要求22.1.2实现方法32.1.3整机组成框图32.2硬件电路设计42.2.1 全自动洗衣机的逻辑控制总电路42.2.2 AT89C2051单片机62.2.3数码管显示电路92.2.4电机控制电路

6、122.2.5进水阀控制电路132.2.6排水阀控制电路142.2.7按键及报警电路152.2.8洗衣机的暂停功能和安全保护及防振动功能采用中断处理方式电路172.3软件设计193 总结与体会22谢辞23参考文献24附录25外文资料341英文资料342中文翻译36唐 山 学 院 毕 业 设 计1 引言目前中国洗衣机市场正进入更新换代期,市场潜力巨大,人们对于洗衣机的要求也越来越高,目前的洗衣机主要有强弱洗涤功能、进排水系统故障自动诊断功能、暂停等七大功能,在许多方面还不能达到人们的需求。这就要求设计者们有更高的专业和技术水平,能够提出更多好的建议和新的课题,将人们的需要变成现实,设计出更节能、

7、功能更全面、更人性化的全自动洗衣机。目前的洗衣机都没有实现全方面的兼容,大多洗衣的厂家都注重各自品牌的洗衣机的特长,突出一两个与别的洗衣机不同的个性化的功能,洗衣机的各项功能是由单片机控制实现的,单片机的体积小,控制功能灵活,因此,设计出基于单片机的全自动洗衣机控制电路系统具有很强的实用性。 预计2005年洗衣机生产量将达1800万台左右,增长率在56之间,国内销量为1500万台左右,增长率在34之间。“更节水,更洗净”将成为今年中高档洗衣机最大的亮点和卖点。随着更多国内外强势品牌加入研究新的技术,开发新的产品,洗衣机行业将爆发新一轮以“绿色环保”、“节水节能”为主题的大战。而技术制高点则是未

8、来的竞争焦点。消费者选择自动选择进水量和洗衣程序,进一步实现省水、省电。在国内从洗衣机市场得到的商情显示,由于受水资源不断减少,自来水费有所提高等因素的影响,市场上那些用水量较大的洗衣机销售受阻,而具有节水功能的洗衣机销路不断看好。针对市场需求的变化,一些生产厂家如小天鹅、小鸭、海尔等,先后向市场推出了一批节水型全自动洗衣机,受到消费者的青睐,成为洗衣机中的购买热点。节水型全自动洗衣机的主要特点是可供用水水位在选择上有6种、8种、10种等多种。有的节水型全自动洗衣机最低水位在12升至20升之间,用水量大大减少。除此之外,由于传统双缸洗衣机用水量可以随意选择,因此又重新被消费者认可。 本设计采用

9、物美价廉的ATMTEI单片机AT89C2051为控制核心,为保证洗衣机及人身安全,设计了蜂鸣报警电路.因本设计输入按键较少,所以采用直接输入方式,使电路简单化.电源采用三端集成固定稳压器7805提供+5V电源.功率驱动电路由可控硅实施对电动机,进水阀,排水阀的控制.为方便读者更快地了解,熟悉本设计,作为基础知识,还介绍了与全自动洗衣机有关的一些常见的电子元器件的基本功能. 本设计只设计了全自动洗衣机的基本功能,其他的一些功能可在原有的基础上扩展升级,使全自动洗衣机能更加智能化,更加完善.2全自动洗衣机电路设计2.1方案选择2.1.1全自动洗衣机的控制功能要求:洗衣机要完成洗衣工作,除了对一般洗

10、衣过程的人工工作及效能进行模拟之外,还要根据洗衣机的机械电子性质进行有关控制和检测。对于一台套桶式单缸低波轮全自动洗衣机而言,首先要求能完成洗衣功能;同时还要根据用户的不同设置几种不同的洗衣程序;还要考虑水流的情况决定洗涤的弱强情况;另外,还要对洗衣过程出现的故障进行诊断;保证高速运转时脱水的安全性等。所以,对全自动洗衣机,一般要求具有如下基本功能。1、 强、弱洗涤功能。要求强洗时正、反转驱动时间各为4s,间歇时间为1s,弱洗是正、反转驱动时间各为3s,间歇时间为2s。2、 4种洗衣工作程序,即标准程序、经济程序、单独程序和排水程序。标准程序是进水洗涤漂洗排水脱水,如此循环3次,每循环一次洗涤

11、或漂洗环节时间比上一循环同一环节时间减少2min,具体是:第一循环为洗涤,时间为6min,第二,第三次循环为漂洗,时间分别为4min和2min。排水时间采用动态时间法确定,脱水时间为2min。经济程序与标准程序一样,只是循环次数为二次。单独次序是进水洗涤(6min)结束(留水不排不脱)。排水程序是排水脱水结束,时间确定与上述程序相应环节相同。3、 进、排水系统故障自动诊断功能。洗衣机在进水或者排水过程中,若在一定的时间范围内进水或排水未能达到预定的水位,就说明进、排水系统有故障,此故障由控制系统测知并通过警告程序发出警告信号,提醒操作者进行人工排除。4、 脱水期间安全保护和防振动功能。洗衣机在

12、脱水期间若打开机盖时,洗衣机就会自动停止脱水操作。脱水期间,如果出现衣物缠绕引起脱水桶重心偏移而不平衡,洗衣机也会自动停止脱水,以免振动过大,待人工处理后恢复工作。脱水期间采取间歇驱动方式,以便节能。本系统要求驱动5s,间歇2s,间歇期间靠惯性力使脱水桶保持高速旋转。5、 暂停功能。不管洗衣机工作在什么状态,当按下暂停键时,洗衣机须停止工作,待驱动键按下后洗衣机又能按原来所选择的工作方式继续工作。6、 声光显示功能。洗衣机各种工作方式的选择和各种工作状态均有声、光提示或显示。2.1.2实现方法基于上述要实现软硬件结合,软件控制硬件电路,考虑到AT89C2051的特点,完全有能力控制实现上述功能

13、,所以以AT89C2051作为核心器件,控制系统主要由电源电路、数字控制电路和机械控制电路三大模块组成,电源电路为数字控制电路提供稳定的+5V直流电压,为电动机提供220V市电;数字控制电路负责控制洗衣机的工作过程,主要由AT89C2051单片机、两位共阴数码管、按键、蜂鸣器、LED指示灯组成;机械控制电路实现水位监测、电机驱动、进水、排水等功能,主要由水位检测器、电动机、传动系统部件、进水排水电磁阀组成。2.1.3整机组成框图针对上述,一方面涉及到硬件电路,另一方面要配合相应的软件,才能完成上述功能。下面为本设计的整机框图如图1。 单 片 机 AT 8 9 C20 51 电机控制电路进水阀控

14、制电路排水阀控制电路洗衣机的暂停功能和安全保护及防振动功能采用中断处理方式电路数码管显示电路按键控制及报警电路图1整机电路组成框图2.2硬件电路设计2.2.1 全自动洗衣机的逻辑控制总电路全自动洗衣机的逻辑控制总电路如图2所示。它由单片机AT89C2051为核心加上有关集成电路及元器件组成。从图中看出,这个全自动洗衣机控制逻辑电路相当简单。全自动洗衣机的工作部件有3个,这就是电机、进水阀和排水阀。电机是洗衣机的动力源,它的转动带动洗衣桶和波轮的转动,从而时现对衣物的洗涤。进水阀用于控制洗衣机的进水量。排水阀用于控制排水。电机在脱水时还高速旋转带动衣物脱水。电机的状态有3种,即正转、反转及停止状

15、态。电机一般工作在这三种状态的不断转换之中,从而实现洗涤。但在脱水时,只工作在正转高速状态。进水阀和排水阀则只有开、关这两种状态。从图2的控制电路中可以看出:AT89C2051的P1端口中的P1.0P1.3共四条I/O线通过4块SP111O新型固态继电器分别直接驱动洗衣机的这些工作部件。SP1110是一种固态继电器,内有发光二极管及光触发双向可控硅,1050mA输入电流即可使双向可控硅完全导通,输出端通态电流为3A(平均值),浪通电流15A(不重复)。之所以选用这个器件,是因为它一方面可使电路进一部简化,另一方面还可使强、弱两类电路完全隔离,保证主板的安全。 74SO5为六反相器,用作为中间缓

16、冲器,其中的4个反相器可分别驱动4个SP1110继电器,剩余两反相器用于驱动LED5和LED6。74LS139为双2-4线译码器,选用它可解决CPU I/O线数量的不足。从控制要求可知,洗衣机有4种不同的显示来加以区别。74LS139双2-4线译码器仅占用CPU的P3.0和P3.1两口线即可提供4种不同显示的驱动,其逻辑关系是:P3.0,P3.1为“11”时LED1亮,指示标准程序;为“10”时LED2亮,指示经济程序;为“01”时LED3亮,指示单独程序;为“00”时LED4亮,指示排水程序。洗衣机的暂停功能和安全保护及防震动功能采用中断处理方式。这两个中断分别对应于CPU的外部中断“0”和

17、外部中断“1”。中断信号通过TC4013BP双D触发器的两个/Q分别加到CPUP3.2和P3.3口线由触发器锁存直到CPU响应中断为止。开盖(安全保护)或不平衡(防振动)中断信号通过由BG1,BG2组成的反相器送至TC4013BP的11脚CP端,经触发器的第12脚/Q加到P3.3。本系统对开盖和不平衡中断采取相同的处理方法,因此,共用外部中断“1”。为了充分利用(CPU的I/O口线,P3.4和P3.5采用分时复用技术,没线具有两个功能。在洗衣机未进入工作状态或洗衣机处于暂停状态期间,P3.4为输入线,用于检测启动键的状态,当启动键按下时,洗衣机即进入工作状态或从暂停状态恢复到原来的工作状态;在

18、洗衣机暂停中断响应期间,P3.4为输出线,用于撤消暂停中断请求。在洗衣机进水或排水期间,P3.5被用作输入线,用于监测水位开关状态,为CPU提供洗衣机的水位信息;在洗衣机高速脱水期间,当发生开盖和不平衡中断时,P3.5为输出线,用于撤消中断请求信号。CPU的P3.7线用于驱动蜂鸣器发出各种报警信号。4、5脚外接6Hz的晶振。1脚通过10uF电容接到+5V电源,可实现上电自动复位。K7为强制复位键。洗衣机的强、弱洗可通过K1键进行循环选择。K1还具有第二功能,当洗衣机发生故障转入报警程序后,按下K1键可使洗衣机退出报警状态回到处始待命状态。洗衣机工作程序可通过K2键循环选择。洗衣机的工作状态可通

19、过LED7LED9进行显示。脱水期间系统在响应开盖或不平衡终止后,CPU采取软件查询的方式方式通过P1.6线对盖开关进行检测以确定洗衣机是否继续进行脱水操作。 图2 全自动洗衣机的逻辑控制总电路2.2.2 AT89C2051单片机微处理电路我们采用ATMEL公司的单片机,价格便易、功能齐全、可靠性高、使用普遍。AT89C2051单片机,如图3所示,是ATMEL公司8位单片机系列产品之一,AT89C2051单片机是一种20引脚双列直插式芯片。它内含2KB可反复烧录的FLASH存储器,RAM字节也有128个,有15条可编程控制的I/O线,5个中断触发源,2个定时器,已经可以满足程序的需要,指令和M

20、CS-51系列完全兼容。基于上述特点,在需要I/O线不多的控制场合,选用它作为核心控制芯片,可是电路极大简化,而且程序的编写及固化也相当方便、灵活。 AT89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容,将多功能8位CPU和闪烁存储器组合在单个芯片中。 图3 AT89C2051主要特性及管脚说明 主要特性与MCS-51 兼容2K字节可编程闪烁存储器 1288位内部RAM两个16位定时器/计数器6个中断源管脚说明: VCC(20):供

21、电电压+5V。GND(10):公共接地端。P1口(12-19):P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3口:P3口管脚是8个带

22、内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(TTL)这是由于上拉的缘故。P3口也可作为AT89C2051的一些特殊功能口,如下所示:口管脚 备选功能P3.0(2)RXD(串行输入口)P3.1(3)TXD(串行输出口)P3.2(6)/INT0(外部中断0)P3.3(7)/INT1(外部中断1)P3.4(8)T0(计时器0外部输入)P3.5(9)T1(计时器1外部输入)P3.6/WR(外部数据存储器写选通)P3.7(11)/RD(外部数据存储器读选通)RST(1)复位输入,当振荡

23、器工作时,要保持RST脚两个机器周期的高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的低8位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX、MOVC指令时ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。/PSEN:外部程序存储器的选通信号。在由外部程序存

24、储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。/EA/VPP: 当/E保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP) XTAL1(5)反相振荡放大器的输入及内部时钟工作电路的输入。 XTAL2(4)来自反相振荡器的输出。图4 振荡器振荡器特性:石英晶体振荡器是高精度和高稳定度的振荡器,被广泛应用于彩电、计算机、遥控器等各类振荡电路中,以

25、及通信系统中用于频率发生器、为数据处理设备产生时钟信号和为特定系统提供基准信号。石英晶体振荡器是利用石英晶体(二氧化硅的结晶体)的压电效应制成的一种谐振器件,它的基本构成大致是:从一块石英晶体上按一定方位角切下薄片(简称为晶片,它可以是正方形、矩形或圆形等),在它的两个对应面上涂敷银层作为电极,在每个电极上各焊一根引线接到管脚上,再加上封装外壳就构成了石英晶体谐振器,简称为石英晶体或晶体、晶振。其产品一般用金属外壳封装,也有用玻璃壳、陶瓷或塑料封装的。晶振的主要参数有标称频率、负载电容、频率精度、频率稳定度等。不同的晶振标称频率不同,标称频率大都标明在晶振外壳上。如常用普通晶振标称频率有:48

26、KHz、500 KHz、503.5 KHz、1MHz40.50 MHz等,对于特殊要求的晶振频率可达到1000 MHz以上,本设计采用6MHz的标称频率。2.2.3数码管显示电路 本电路抱括两部:一部分为LED显示,主要显示:进水时间、排水时间、洗涤时间,另一倍分为各状态显示:标准、快速、慢速、强洗、弱洗等工作状态。 图5 数码管显示电路图如图5 LED显示由段码各位码组成,段码为八段:A、B、C、D、E、F、G、DP,写入不同的值即可显示0、1、2、3、4、5、6、7、8、9等数字。通过位码的控制即可有规律显示时间或数据,位码由于本电路CPU管脚有限,我们通过74HC139(74LS139为

27、双2-4线译码器,选用它可解决CPU I/O线数量的不足)来扩口,通过两根口线即可实现P3.0、P3.1,如图5所示。通过A2、B2两个口即可完成位码切换,“00”为第一位,“01”为第二位,“10”为第三位,“11”为第四位。本设计主要是显示分钟数、洗涤、进水、排水时间。图6 74LS139扩口电路数码管指示电路如图 6所示 ,从控制要求可知,洗衣机有4种洗衣工作程序,因此须有4种不同的显示来加以区别。74LS139双24线译码器仅占用CPU的P3.0和P3.1两口线即可提供4种不同显示的驱动,其逻辑关系是:P3.0、P3.1为“11”时LED1亮,指示标准程序;为“10”时LED2亮,指示

28、经济程序;为“01”时LED3亮,指示单独程序;为“00”时LED4亮,指示排水程序。图7 发光二极管发光二极管简称为LED,如图7所示。由镓(Ga)与砷(AS)、磷(P)的化合物制成的二极管,当电子与空穴复合时能辐射出可见光,因而可以用来制成发光二极管,在电路及仪器中作为指示灯,或者组成文字或数字显示。磷砷化镓二极管发红光,磷化镓二极管发绿光,碳化硅二极管发黄光。它是半导体二极管的一种,可以把电能转化成光能。发光二极管与普通二极管一样是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,从P区注入到N区的空穴和由N区注入到P区的电子,在PN结附近数微米内分别与N区的电子和P区的

29、空穴复合,产生自发辐射的荧光。不同的半导体材料中电子和空穴所处的能量状态不同。当电子和空穴复合时释放出的能量多少不同,释放出的能量越多,则发出的光的波长越短。常用的是发红光、绿光或黄光的二极管。发光二极管的反向击穿电压约5伏。它的正向伏安特性曲线很陡,使用时必须串联限流电阻以控制通过管子的电流。限流电阻R可用下式计算:R(EUF)IF式中E为电源电压,UF为LED的正向压降,IF为LED的一般工作电流。发光二极管的两根引线中较长的一根为正极,应按电源正极。有的发光二极管的两根引线一样长,但管壳上有一凸起的小舌,靠近小舌的引线是正极。与小白炽灯泡和氖灯相比,发光二极管的特点是:工作电压很低(有的

30、仅一点几伏);工作电流很小(有的仅零点几毫安即可发光);抗冲击和抗震性能好,可靠性高,寿命长;通过调制通过的电流强弱可以方便地调制发光的强弱。由于有这些特点,发光二极管在一些光电控制设备中用作光源,在许多电子设备中用作信号显示器。把它的管心做成条状,用7条条状的发光管组成7段式半导体数码管,每个数码管可显示09十个数字。2.2.4电机控制电路 电机控控制电路如图8所示,由电路中可以看出:AT89C2051的P1断口的P2.6、P2.5共2条I/O线通过2块SP1110新型固态继电器分别直接驱动洗衣机的电机工作部件。AP1110是一种交流固态继电器,内有发光二极管及光触发双向可控硅,1050mA

31、输入电流即可使双向可控硅完全导通,输出端通态电流为3A(平均值),浪涌电流15A(不重复)。之所以选用这个器件,是因为它一方面可使电路进一步简化,另一方面还可使强、弱两类电路完全隔离,保证主板的安全。74S05为六反相器,用其作为中间缓冲器,其中的2个反相器可分别驱动4SP1110继电器,图中 LED发光二极管用于指示电机工作状态。图8 电机控制电路电机是接在220V交流电压上的,通过固体继电器来开通,其内的双向可控硅受发光二极管控制,如图8所示,我们只要给发光二极管加低电平,二极管就会发光,双向可控硅过零后,就会导通,电机一个绕组就会有电流流过,同时我们再开通另外一个绕组,电机就会转动起了,

32、由单片机来控制端口脉冲,即可启动,另外电机的方向控制,主要是改变绕组的电流方向,即可实现,同样也是通进双向可控硅来调节。2.2.5进水阀控制电路进水阀控制电路如图9所示。 和电机控制电路一样,通固体继电器来实现隔离,反相器74S05实现缓冲,发光二极管,指示工作状态,进水阀门的开关,由继电器来控制,当继电器线圈中有电流流过时,继电器开关吸合,反之,就断开,利用这一特性和单片机P2.4端口相连来控制,给这个端口加低电平,反相缓冲后变成高电平,双向可控硅断开,线圈中没有电流流过,阀门打开;当给端口加高电平时,给反相倒相后变成低电平,点亮发光二极管,双向可控硅开通,继电器线圈绕组中有电流流过,产生磁

33、场,吸合开关,阀门关闭。进水阀门的操作主要有两个参数,一个为开关,另一个不开关时间,这两个参数都是很容易通过单片机实现的。图9 进水阀控制电路2.2.6排水阀控制电路排水阀控制电路如图10所示。和进水阀电路一样,通过固体继电器来实现隔离,反相器74S05实现缓冲,发光二极管,指示工作状态,进水阀门的开关,由继电器来控制,当继电器线圈中有电流流过时,继电器开关吸合,反之,就断开,利用这一特性和单片机P2.4端口相连来控制,给这个端口加低电平,反相缓冲后变成高电平,双向可控硅断开,线圈中没有电流流过,阀门打开;当给端口加高电平时,反相倒相后变成低电平,点亮发光二极管,双向可控硅开通,继电器线圈绕组

34、中有电流流过,产生磁场,吸合开关,阀门关闭。排水阀门的操作同样也有两个参数,一个为开关,另一个不开关时间,这两个参都是很容易通过单片机实现的。两电路都有共同点,都是需要软件进行处理,什么时候开,什么时候关,开多长时间,关多长时间等,都是通过软件控制来自动完成的。图10 排水阀控制电路2.2.7按键及报警电路图 11 按键及报警电路CPU的P3.7线用于驱动蜂鸣器发出各种报警信号。4、5脚外接6MHZ的晶振。1脚通过10uF电容接到+5V电源,可实现上电自动复位。K7为强制复位键。洗衣机的强、弱洗可通过K1键进行循环选择。K1还具有第二功能,即当洗衣机发生故障转入报警程序后,按下K1键可使洗衣机

35、退出报警状态回到初始待命状态。洗衣工作程序可通过K2键循环选择。洗衣机的工作状态可通过LED7LED9进行显示。脱水期间系统在响应开盖或不平衡中止后,CPU采取软件查询的方式通过P1.6线对盖开关进行检测以确定洗衣机是否继续进行脱水操作。图13 蜂鸣器蜂鸣器的介绍1蜂鸣器的作用 蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。2蜂鸣器的分类 蜂鸣器主要分为压电式蜂鸣器和电磁式蜂鸣器两种类型。3蜂鸣器的电路图形符号 蜂鸣器在电路中用字母“H”或“HA”(旧标准用“FM”、“LB”、“JD

36、”等)表示。 蜂鸣器的结构原理1压电式蜂鸣器 压电式蜂鸣器主要由多谐振荡器、压电蜂鸣片、阻抗匹配器及共鸣箱、外壳等组成。有的压电式蜂鸣器外壳上还装有发光二极管。多谐振荡器由晶体管或集成电路构成。当接通电源后(1.515V直流工作电压),多谐振荡器起振,输出1.52.5KHZ的音频信号,阻抗匹配器推动压电蜂鸣片发声。压电蜂鸣片由锆钛酸铅或铌镁酸铅压电陶瓷材料制成。在陶瓷片的两面镀上银电极,经极化和老化处理后,再与黄铜片或不锈钢片粘在一起。2电磁式蜂鸣器 电磁式蜂鸣器由振荡器、电磁线圈、磁铁、振动膜片及外壳等组成。接通电源后,振荡器产生的音频信号电流通过电磁线圈,使电磁线圈产生磁场。振动膜片在电磁

37、线圈和磁铁的相互作用下,周期性地振动发声。2.2.8洗衣机的暂停功能和安全保护及防振动功能采用中断处理方式电路CP S /QD R Q 图14 D触发器图15 D触发器电路这两个中断分别对应于CPU的外部中断“0”和外部中断“1”。中断请求信号通过TC4013BP双D触发器(如图d)的两个/Q分别加到CPU的P3.2和P3.3口线,由触发器锁存直到CPU响应中断为止。开盖(安全保护)或不平衡(防振动)中断信号通过由BG1、BG2组成的反相器送至TC4013BP的11脚CP端,经触发器的第12脚(/Q端)加到P3.3。本系统对开盖和不平衡中断采取相同的处理方法,因此,共用外部中断“1”。 为了充

38、分利用CPU的I/O口线,P3.4和P3.5采用分时复用技术,每线具有两个功能。在洗衣机未进入工作状态或洗衣机处于暂停状态期间,P3.4为输入线,用于检测启动键的状态,当启动键按下时,洗衣机即进入工作状态或从暂停状态恢复到原来的工作状态;在洗衣机暂停中断响应期间,P3.4为输出线,用于撤消暂停中断请求。在洗衣机进水或排水期间,P3.5被用作输入线,用于检测水位开关状态,为CPU提供洗衣机的水位信息;在洗衣机高速脱水期间,当发生开盖或不平衡中断时,P3.5为输出线,用于撤消中断请求信号。YY2.3软件设计由AT89C2051控制的全自动洗衣机的工作程序框图如图16所示。从程序框图可以看出,这个控

39、制程序也较为简单,所以,占用的存储器容量不大。开始初始化默认标准程序K1有键?YK1键处理程序NK2有键?2YK2键处理程序Y排水程序?K5有键?返回干预报警NYNN达预定水位?进水操作YY洗涤/漂洗操作进水故障?YN排水?排水操作NNY排水结束?排水故障?报警干预返回Y脱水操作N洗衣结束?Y结束返回图 16 程序流程图从程序框图中可以看出程序的基本流程,系统上电复位后,首先进行初始化,默认标准洗衣工作程序和强洗方式,然后扫描K1、K2和启动键K4,这时洗衣机处于待命状态。通过K1、K2可分别修改强、弱洗方式和洗衣工作程序。扫描过程中当发现启动键K4按下时,洗衣机即从待命状态进入工作状态。 洗

40、衣机进入工作程序后,系统首先根据RAM中57H单元的特征字判断洗衣机的洗衣工作程序,若特征字为(01H为单独程序,02H为经济程序,03为标准程序),这时程序直接跳转至排水操作程序段,执行单独的排水操作,否则进入进水操作程序。进水操作P1.0置位驱动进水阀开启。进水期间系统不断检测水位开关K5的状态,当检测到K5闭合时,说明进水以达到预定水位。若在规定的4分钟极限内未检测到K5闭合,说明进水系统发生故障,此时洗衣机退出工作状态,程序跳转到FW为标号地址的故障处理程序段进行报警,其处理方法是:将P1.0P1.3位全部置“0”,中止洗衣机的各种操作,然后蜂鸣器以响1秒、停2秒的规律不断地发出报警信

41、号,直到人工干预即按下K1键后为止(按下K1后,程序跳转回主程序起始地址,洗衣机又回到待命的初始状态0。 在正常情况下,进水期间检测到K5闭合时,说明进水以达预定水位,这时洗衣机进入下一程序即洗涤工作。因为电机在洗涤或漂洗工作时有正、反转和间歇三种状态,所以用P1.2、P1.3两线才能实现电机对这三种状态的控制。其逻辑关系是:P1.3、P1.2为“00”时电机间歇,为“01”时正转,为“10”时反转。洗涤时间为6分钟。洗涤结束后,系统通过一条判断指令,判断是否排水。由控制要求可知,若不排水则为单独程序,这时程序直接跳到结束报警程序段,报警三声后跳回主程序,洗衣机进入初始待命状态,单独洗衣工作程

42、序结束,否则,进入排水进程。 排水时间采用动态时间法确定,其原理是:根据常用的空气压力水位开关的特性(即在进水中当水位达到预定水位时水位开关就接通;在排水中当桶内水位下降11厘米后,水位开关才断开),在排水过程中若从开始到开关断开所需时间为D,则整个排水所用时间为2D+50(经验值)秒。若在规定的1分钟极限时间内,系统检测不到水位开关K5断开,说明排水系统有故障,程序跳转至故障处理程序段发出告警信号,其处理方法与进水系统故障相同。 排水结束后,洗衣机接着执行脱水操作,P1.1维持置位状态,保持排水阀开启,P1.2按5秒置位、2秒清零的规律连续驱动电机高速旋转2 分钟,然后脱水结束。 脱水结束后

43、系统通过一条判断指令判断整个洗衣工作是否结束。其原理是:洗衣机在每次洗涤或漂洗工作环节结束之后,洗衣工作程序标志57H单元减1一次,在脱水工作环节结束,系统即对该单元进行检测,当检测到57H单元为0值时,说明整个洗衣工作结束,报警三声后,即返回初始待命状态。若洗衣工作尚未结束,洗衣机再次执行进水操作,进入下一循环。以上是洗衣机工作的大概流程,工作过程中所需的各种计时,均由定时器“0”定时中断服务程序提供。定时器“0”设置为定时方式1,每100 毫秒产生一次中断,因此,TL0、TH0装入的时间常数分别为0B0H、3CH。定时器“0”中断服务子程序入口地址放在0BH单元中,洗衣机进入工作状态后定时

44、器即被启动。每中断一次,70H单元累加1一次,累加到0AH时,为1秒钟,因此71H单元累加1一次,该单元累加至3CH时,为1分钟,这时72H单元累加1一次。系统根据这几个内存单元中的数据就可以确定洗衣机工作各个进程的时间。在暂停中断以及开盖或不平衡中断响应期间,定时中断被禁止,计时各单元内容不变。 洗衣机的暂停中断在洗衣机进入工作状态后,中断请求即被设置为允许,中断请求有效;而开盖或不平衡中断只有在洗衣机进入高速脱水的工作进程时,中断请求才被设置为允许,请求信号有效。这两个中断服务程序比较简单。 所以套桶式单缸低波轮电脑全自动洗衣机的工作原理基本是一样的。然而,不同的厂家不同型号的这类洗衣机其

45、电器控制系统电路的组成和原理是有区别的。本文所介绍的电路有别于以往的这类电路,是AT89C2051新型单片机应用的一个实例。3 总结与体会本设计以数字集成电路技术为基础,单片机技术为核心。主导思想是软硬件相结合,以硬件为基础,来进行各功能模块的编写。首先描述系统硬件工作原理,并附以系统结构框图加以说明,着重介绍了本系统所应用的各硬件接口技术和各个接口模块的功能及工作过程,其次,详细阐述了程序的各个模块和实现过程。采用AT89C2051单片机对洗衣机进行智能控制,通过电机控制电路,数码管显示电路,进水阀控制电路,排水阀控制电路,按键控制及报警电路,洗衣机的暂停功能和安全保护及防振动功能采用中断处

46、理方式电路等硬件电路设计实现了洗衣机工作过程的自动化应用,使得该系统具有强、弱洗涤功能,实现4种洗衣工作程序(即标准程序、经济程序、单独程序和排水程序)功能,声光显示功能等功能,进、排水系统故障自动诊断功能,暂停功能,脱水期间安全保护和防振动功能,从而极大地提高效率、降低功耗、减少噪声。通过这次毕业设计,使我得到了一次用专业知识、专业技能分析和解决问题全面系统的锻炼。使我在单片机的基本原理、单片机应用系统开发过程,以及在常用编程设计思路技巧(特别是汇编语言)的掌握方面都能向前迈了一大步。.谢辞本毕业论文是在我的导师孙茂松的亲切关怀和悉心指导下完成的。他严肃的科学态度,严谨的治学精神,精益求精的

47、工作作风,深深地感染和激励着我。导师渊博的专业知识,严谨的治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的崇高风范,朴实无华、平易近人的人格魅力对我影响深远。不仅使我树立了远大的学术目标、掌握了基本的研究方法,还使我明白了许多待人接物与为人处世的道理。本论文从进入课题到顺利完成,每一步都是在导师的指导下完成的,倾注了导师大量的心血。在此,谨向导师表示崇高的敬意和衷心的感谢!在此,我还要感谢和我一起学习的同窗们,正是由于你们的帮助和支持,我才能克服一个个困难和疑惑,直至本文的顺利完成。在论文即将完成之际,离不开各位老师、同学和朋友的关心和帮助。在此感谢学校提供给我们这次宝贵

48、的实践机会;感谢导师孙茂松的指导和帮助;感谢同学们的关心、支持和帮助。参考文献1 丁元杰. 单片微机原理及应用M北京: 机械工业出版社,2006 2 闫 石. 数字电子技术 M. 北京:高等教育出版社,20053 李 华. MCS-51系列单片机实用接口技术M. 北京: 航空航天大学出版社,19914 余孟尝数字电子技术基础简明教程M北京: 高等教育出版社,2000 5 何立民MCS-51系列单片机应用系统设计M北京: 航空航天大学出版社,19906 赵晓安. MCS-51单片机原理及应用. 天津:天津大学出版社,2001.3 7 李广第单片机基础 第1版北京:北京航空航天大学出版社,1999

49、 8 徐惠民、安德宁单片微型计算机原理接口与应用 第1版 北京:北京邮电大学出版社, 1996 9 夏继强. 单片机实验与实践教程. 北京:北京航空航天大学出版社, 200110 王治刚单片机应用技术与实训北京:清华大学出版社,200411 吴金戌等8051单片机实践与应用北京:清华大学出版社,200212 李勋单片机微型计算机大学读本北京:北京航空航天大学出版社,200213 O.S.Turkey,I.T.Sumer,A.K.Tugcu B.Kiray.Modeling and Experimental Assessment of Suspension Dynamics of Horizon

50、tal-Axis Washing Machine.Journal of Vibration and AcousticsM.1998(4), Vol 120:534-543.1 张洪润,蓝清华. 单片机应用技术教程M . 北京:清华大学出版社,1997.2 秦曾煌. 电工学M . 北京:高等教育出版社,1999.3 常斗南,等. 可编程序控制器原理、应用、实验M . 北京:机械工业出版社,1998.4 于海生,等. 微型计算机控制技术M . 北京:清华大学出版社,1999.5 王福瑞,等. 单片机微机测控系统设计大全M . 北京:北京航空航天大学出版社,1998.6 季维发,过润秋,严武升等.

51、机电一体化技术M .北京:电子工业出版社,1995.8 郭敬枢,庄继东,孔峰. 微机控制技术M . 重庆:重庆大学出版社,1994.9 刘国荣. 单片微型计算机技术M . 北京:机械工业出版社,1996.11 王福瑞. 单片微机测控系统设计大全M . 北京:北京航空航天大学出版社,1998.12 何立民. 单片机应用技术选编M . 北京:北京航空航天大学出版社,1993.13 潘新民等: 单片微型计算机实用系统设计1 北京: 人民邮电出版社, 1992.14 王润孝,秦现生编著1 机床数控原理与系统1 西北工业大学出版社,1997.15 李伯成,侯伯李等编1IBM - PC 微机应用系统设计1

52、西安电子科技大学,1996.16 黄义源主编1 机械设备电气与数字控制1 中共广播电视大学出版社,1992.附录源程序清单:ORG 0000HSTART: AJMP MAIN ; 初始化ORG 0003HAJMP INT0 ; 暂停中断中断向量ORG 000BHAJMP T0 ; 定时器中断向量ORG 0013HAJMP INT1 ; 开盖,不平衡中断中断向量ORG 0030HMAIN: MOV P1, #0F0H ; 主程序开始MOV P3,#7FHMOV SP,#35HMOV 56H,#0F0H ; 0F0H排水标志(指定56H为标志单元)MOV 57H,#03 ; 洗衣机标准洗衣工作程序

53、标志(指定57H)MOV 54H,#40 ; 强洗正、反转驱动秒赋值(指定54H存放)MOV 55H,#10 ; 强洗间歇秒赋值(指定55H存放)MOV 58H,#06 ; 漂洗分钟赋值(指定58H存放)CLR ET0SETB EA ; 开总中断CLR IT0 ; 设置外部中断、为低电平触发CLR IT1SETB PX0 ; 设置中断优先级别SETB PT0SETB PX1MOV TL0,#0B0H ; 设置中断时间常数MOV TH0,#3CHMOV TMOD,#01HKA: JNB P1.4,X1 ; 以下为按键扫描程序AJMP KBX1: ACALL K1KB: JNB P1.5,X2AJ

54、MP KCX2: ACALL K2KC: JB P3.4,KA ; 按键扫描程序结束ACALL DEL10JB P3.4,KAACALL FZHJNB P3.4,$SETB ET0 ; 开定时器中断SETB TR0 ; 启动定时器SETB P3.5SETB EX0CLR P3.4MOV A ,57HCJNE A,#00H,XY ; 是排水程序?AJMP BSH1 ; 是则跳转排水程序XY: MOV 70H,#00MOV 51H,#00SETB P1.0 ; 以下为进水操作,打开进水阀MOV A,#240L0: CJNE A,51H,L1 ; 进水系统有故障?AJMP FW ; 有则跳转故障处理

55、程序L1: JB P3.5 ,L0 ; 进水达预定水位?CLR P1.0 ; 进水结束,关闭进水阀MOV 70H,#00MOV 71H,#00MOV 72H,#00L: MOV 50H,#00 ; 以下为洗涤漂洗工作程序SETB P1.2 ; 正转洗涤漂洗驱动MOV A,50HCJNE A,50H,$CLR P1.2 ; 洗涤漂洗间歇MOV 50H,#00MOV A,55HCJNE A,50H,$MOV 50H,#00SETB P1.3 ; 反转洗涤漂洗驱动MOV A,54HCJNE A,50H,$CLR P1.3 ; 洗涤漂洗间歇MOV 50H,#00MOV A,55HCJNE A,50H,

56、$MOV A,58HCJNE A,72H,L ; 洗涤漂洗工作结束?BSH: MOV A,56HCJNE A,#0F0h,PBSH ; 洗涤漂洗工作结束,排水否?DEC 57H ; 洗衣工作循环次数减1BSH1: SetB P1.1 ; 排水操作,打开排水阀MOV 70H,#00MOV 51H,#00MOV A,#60BSH2: CJNE A,51H,BSH3 ; 排水系统有故障?AJMP FW ; 有则跳转故障处理程序BSH3: JNB P3.5,BSH2 ; 水位下降至预定水位?MOV A,51HADD A,#50MOV 70H,#00MOV 51H,#00CJNE A,51H,$ ; 排

57、水时间延长秒MOV 73H,#00 ; 以下为脱水程序CLR P3.5 ; 开盖、不平衡中断请求触器允许SETB EX1 ; 脱水期间,开盖、不平衡中断允许BSH4: MOV 50H,#00SETB P1.2 ; 脱水驱动秒MOV A,#50CJNE A,50H,$CLR P1.2 ; 脱水间歇秒MOV A,#20MOV 50H,#00CJNE A,50H,$INC 73HMOV A,73HCJNE A,#17,BSH4 ; 脱水时间达2分钟?CLR P1.1SETB P3.5CLR EX1 ; 脱水结束,禁止开盖、不平衡中断中断崐 MOV A,57HCJNE A,#00,BSH5 ; 洗衣工

58、作是否结束?AJMP PBSH ; 结束跳转结束报警程序BSH5: MOV A,58HSUBB A,#2 ; 洗涤漂洗时间减分钟MOV 58H,AAJMP XY ; 洗衣工作未结束,继续下一循环PBSH: MOV 74H,#00 ; 洗衣结束报警程序JK: MOV 50H,#00BJ1: SETB P3.7MOV A,50HCJNE A,#10,BJ1MOV 50H,#00BJ2: CLR P3.7MOV A,50HCJNE A,#10,BJ2INC 74HMOV A,74HCJNE A,#3,JKAJMP MAIN ; 洗衣工作结束,返回主程序;FW: CLR EX1 ; 以下为进排水系统故

59、障处理程序CLR P1.0CLR P1.1CLR P1.2CLR P1.3FW1: MOV 50H,#00FW2: SETB P3.7JNB P1.4,FW4MOV A,50HCJNE A,#10,FW2MOV 50H,#00FW3: CLR P3.7JNB P1.4,FW4MOV A,50HCJNE A,#20,FW3AJMP FW1FW4: CLR P3.7ACALL FZHJNB P1.4 ,$AJMP MAIN;INT0: PUSH A ; 以下为暂停中断服务程序PUSH PSWPUSH DPHPUSH DPLSETB P3.4 ; 撤消本次中断请求信号ACALL FZHMOV A,P1MOV R1,A ; 保存端口状态ANL A,#0F0HMOV P1,A ; 洗衣机暂停各种操作JB P3.4,$ ; 等待启动键按下ACALL FZHMOV A,R1MOV P1,A ; 恢复口原始数据POP DPLPOP DPHPOP PSWPOP ACLR P3.4RETI ; 中断返回;T0: PUSH A ; 定时器定时中断服务程序PUSH DPHPUSH DPLPUSH PSWINC 50HINC 70H ; 单元累加MOV A,70HCJNE A,#0AH,LL ; 时间达秒?MOV 70H,#00INC 51HINC 71H ; 时间达秒,则秒单元累加MOV

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!