编码器复习专业教育

上传人:沈*** 文档编号:74719050 上传时间:2022-04-14 格式:PPT 页数:56 大小:2.15MB
收藏 版权申诉 举报 下载
编码器复习专业教育_第1页
第1页 / 共56页
编码器复习专业教育_第2页
第2页 / 共56页
编码器复习专业教育_第3页
第3页 / 共56页
资源描述:

《编码器复习专业教育》由会员分享,可在线阅读,更多相关《编码器复习专业教育(56页珍藏版)》请在装配图网上搜索。

1、复复 习习1.1.半加器和全加器的概念及特点?半加器和全加器的概念及特点?2.2.集成全加器集成全加器74LS28374LS283的逻辑功能及应用?的逻辑功能及应用?3.3.集成数值比较器的逻辑功能及应用?集成数值比较器的逻辑功能及应用?1特备参考3.3 3.3 编码器和译码器编码器和译码器3.3.1 3.3.1 编码器编码器3.3.2 3.3.2 译码器译码器2特备参考3.3.1 3.3.1 编码器编码器一、编码器(一、编码器(EncoderEncoder)的概念)的概念 用文字、符号或数码表示特定对象的过程称为用文字、符号或数码表示特定对象的过程称为编码编码。在。在数字电路中用二进制代码表

2、示有关的信号称为二进制编码。数字电路中用二进制代码表示有关的信号称为二进制编码。 实现编码操作的电路就是实现编码操作的电路就是编码器编码器。按照被编码信号的不同特。按照被编码信号的不同特点和要求,有普通编码器、优先编码器、二点和要求,有普通编码器、优先编码器、二十进制编码器十进制编码器之分。之分。 使用编码技术可以大大减少数字电路系统中信号传输使用编码技术可以大大减少数字电路系统中信号传输线的条数,同时便于信号的接收和处理。线的条数,同时便于信号的接收和处理。例如:一个由例如:一个由8 8个开关组成的键盘,个开关组成的键盘, 直接接入:需要直接接入:需要8 8条条信号传输线;信号传输线; 编码

3、器:只需要编码器:只需要3 3条条数据线。数据线。(每组输入状态对应一(每组输入状态对应一组组3 3位二进制代码)位二进制代码)3特备参考线线编码器线线编码器I3I4I5I6I7I0I1I2Y0Y1Y2输入:输入:I I0 0I I7 7 8 8个高电平信号,个高电平信号,输出:输出:3 3位二进制代码位二进制代码Y Y2 2Y Y1 1Y Y0 0。 故也称为故也称为8 8线线3 3线编码器线编码器。1.1.普通编码器普通编码器 用用n n位二进制代码可对位二进制代码可对N N2 2n n个输入信号进行编码,个输入信号进行编码,输出相应的输出相应的n n位二进制代码。位二进制代码。特点特点:

4、输入:输入I I0 0I I7 7当中只允许一个输入变量有效,即取值当中只允许一个输入变量有效,即取值为为1 1(高电平有效)。(高电平有效)。三位二进制普通编码器三位二进制普通编码器4特备参考3 3位二进制编码器的真值表位二进制编码器的真值表5特备参考753175310763276321765476542IIIIIIIIYIIIIIIIIYIIIIIIIIY I7I6I5I4 I3I2 I1 I0 Y2 Y1 Y0 I7I6I5I4 I3I2 I1 I0 Y2 Y1 Y0 (a) 由或门构成由或门构成 (b) 由与非门构成由与非门构成 1 1 1 & & & 逻逻辑辑表表达达式式逻辑图逻辑图

5、6特备参考为何要使用为何要使用优先编码器?优先编码器?一般编码器一般编码器输入信输入信号之间是互相排斥的,号之间是互相排斥的,在任何时刻只允许一个在任何时刻只允许一个输入端请求编码,否则输入端请求编码,否则输出发生混乱。输出发生混乱。 优先编码器则允许优先编码器则允许多个输入信号同时多个输入信号同时要求编码。要求编码。优先编码器的输入信号有不同的优先级别,多于一个优先编码器的输入信号有不同的优先级别,多于一个信号同时要求编码时,只信号同时要求编码时,只对其中优先级别最高对其中优先级别最高的信号的信号进行编码。因此,在编码时必须根据轻重缓急,规定进行编码。因此,在编码时必须根据轻重缓急,规定好输

6、入信号的优先级别。好输入信号的优先级别。 允许同时输入多数个编码信号,并只对其中允许同时输入多数个编码信号,并只对其中优先权最高的信号进行编码输出的电路。优先权最高的信号进行编码输出的电路。2.2.二进制优先编码器二进制优先编码器7特备参考2. 32. 3位二进制优先编码器位二进制优先编码器 在优先编码器中优先级别高的信号排斥级别低的。在优先编码器中优先级别高的信号排斥级别低的。设设I I7 7的优先级别最高,的优先级别最高,I I6 6次之,依此类推,次之,依此类推,I I0 0最低。最低。功功能能表表输入高电输入高电平有效平有效输出以原输出以原码输出码输出8特备参考124634656712

7、34567345675677024534567234567345676771456745675676772IIIIIIIIIIIIIIIIIIIIIIIIIIYIIIIIIIIIIIIIIIIIIIIIIYIIIIIIIIIIIIIIY逻辑表达式逻辑表达式9特备参考逻辑图逻辑图111111&1&Y2 Y1 Y0I7 I6 I5 I4 I3 I2 I1 I08 8线线-3-3线线优优先先编编码码器器 如果要求如果要求输出输出以以反码输出反码输出、输入输入低电平有效低电平有效,只要在,只要在图中的每一个输出端和输入端都加上反相器就可以了。图中的每一个输出端和输入端都加上反相器就可以了。10特备参考

8、集成集成8 8线线3 3线优先编码器线优先编码器74LS14874LS148 1 1 1 1 1 1 1 1 1 1 1 1 & & & 1 & 1 & 1 YS YEX Y0 Y1 Y2 I0 I1 I2 I3 I4 I5 I6 I7 S G2 G1 G3 G4 G5 G6 11特备参考8 8线线3 3线优先编码器线优先编码器74LS14874LS148逻辑符号图逻辑符号图扩展电路功能:扩展电路功能:G门、门、G门、门、G门组成控制电门组成控制电路。路。S选通输入端,低电平有效。选通输入端,低电平有效。Ys选通输出端,低电平表示选通输出端,低电平表示“电路工作,无编码信号输入电路工作,无编码

9、信号输入”。YEX扩展输出端,低电平表示扩展输出端,低电平表示“电路工作,有编码信号输入电路工作,有编码信号输入”。SIIIIIIIIYS76543210SIIIIIIIIYEX)(7654321012特备参考74LS14874LS148功能表功能表输输入入:逻辑:逻辑0(0(低电平)有效低电平)有效输输出出:反码输出:反码输出注意:注意:13特备参考 I5 I4 I3 I2 I1 I0 I6 I7 Y0 Y1 Y2 YEX S YS 74LS148(1) A15 A14 A13 A12 A11 A10 A9 A8 I5 I4 I3 I2 I1 I0 I6 I7 Y0 Y1 Y2 YEX S

10、YS 74LS148(2) A7 A6 A5 A4 A3 A2 A1 A0 例:试用两片例:试用两片74LS14874LS148接成接成1616线线4 4线优先编码器,将线优先编码器,将A A0 0A A15151616个输入信号编为二进制编码个输入信号编为二进制编码Z Z3 3Z Z2 2Z Z1 1Z Z0 0=0000=000011111111。 其中其中A A1515的优先权最高,的优先权最高,A A0 0的优先权最低。的优先权最低。电路扩展应用:电路扩展应用:输入信号的连接;输入信号的连接;级联问题(芯片工作的优先级);级联问题(芯片工作的优先级);输出信号的连接。输出信号的连接。解

11、:输入信号解:输入信号 需用两片需用两片14特备参考级联问题级联问题 I5 I4 I3 I2 I1 I0 I6 I7 Y0 Y1 Y2 YEX X S YS 74LS148(1) A15 A14 A13 A12 A11 A10 A9 A8 I5 I4 I3 I2 I1 I0 I6 I7 Y0 Y1 Y2 YEX S YS 74LS148(2) A7 A6 A5 A4 A3 A2 A1 A0 高优先级高优先级低优先级低优先级输出信号输出信号A15A8A7A0编码编码10Z31 1 10 0 01 1 10 0 0Z2 Z1 Z001YEX(1)15特备参考 I5 I4 I3 I2 I1 I0 I

12、6 I7 Y0 Y1 Y2 YEX S YS 74LS148(1) A15 A14 A13 A12 A11 A10 A9 A8 I5 I4 I3 I2 I1 I0 I6 I7 Y0 Y1 Y2 YEX S YS 74LS148(2) A7 A6 A5 A4 A3 A2 A1 A0 1 Z3 G3 & Z2 G2 & Z0 G3 & Z1 G3 74LS14874LS148扩展的扩展的1616线线4 4线优先编码器线优先编码器16特备参考二、二二、二 十进制编码器十进制编码器1. 8421 BCD1. 8421 BCD码编码器码编码器输输入入1010个互斥的数码个互斥的数码输输出出4 4位二进制

13、代码位二进制代码真真值值表表将将0 09 9十个十进制数转换为二进制代十个十进制数转换为二进制代码的电路,称为二码的电路,称为二十进制编码器。最十进制编码器。最常见的二常见的二十进制编码器是十进制编码器是84218421码编码码编码器。器。 17特备参考9753197531076327632176547654298983IIIIIIIIIIYIIIIIIIIYIIIIIIIIYIIIIY逻辑表达式逻辑表达式 I9 I8 I7I6I5I4 I3I2 I1 I0 Y3 Y2 Y1 Y0 (a) 由或门构成由或门构成 1 1 1 1 I9 I8 I7I6I5I4 I3I2 I1 I0 (b) 由与非

14、门构成由与非门构成 Y3 Y2 Y1 Y0 & & & & 逻辑图逻辑图18特备参考I9 I8 I7 I6 I5 I4 I3 I2 I1 I0Y3 Y2 Y1 Y01 0 1 0 0 1 0 0 0 10 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 11 0 0 11 0 0 00 1 1 10 1 1 00 1 0 10 1 0 00 0 1 10 0 1 00 0 0 10 0 0 02. 8421 BCD2. 8421 BCD码优先编码器码优先编码器真值表真值表

15、输输入入:逻辑:逻辑1(1(高电平)有效高电平)有效输输出出:原码输出:原码输出19特备参考逻辑表达式逻辑表达式124683468568789123456789345678956789789902458934589689789234567893456789678978914895896897894567895678967897892898993IIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIYIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIYIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIYIIIIIY20特备参考逻辑图逻

16、辑图11111111 I9 I8 I7 I6 I5 I4 I3 I2 I1 I0 Y3 Y2 Y1 Y01 &1 &1&1在在每每一一个个输输入入端端和和输输出出端端都都加加上上反反相相器器,便便可可得得到到输输入入和和输输出出均均为为反反码码的的 8 84 42 21 1 B BC CD D 码码优优先先编编码码器器。 21特备参考 16 15 14 13 12 11 10 974LS147 1 2 3 4 5 6 7 8VCC NC Y3 I3 I2 I1 I9 Y0I4 I5 I6 I7 I8 Y2 Y1 GND3. 3. 集成集成1010线线-4-4线优先编码器线优先编码器引脚图引脚图

17、输入低电平有效输入低电平有效输出以反码输出输出以反码输出22特备参考 74LS147 74LS147功能表功能表01110111111111011011111110011011111111010111110101011111001011100010111110010110011111111111110123123456789 YYYYIIIIIIIII23特备参考编码器小结编码器小结 用二进制代码表示特定对象的过用二进制代码表示特定对象的过程称为编码;实现编码操作的电路称程称为编码;实现编码操作的电路称为编码器。为编码器。 编码器分编码器分二进制编码器二进制编码器和和十进制十进制编码器编码器,

18、各种编码器的工作原理类似,各种编码器的工作原理类似,设计方法也相同。集成二进制编码器设计方法也相同。集成二进制编码器和集成十进制编码器均采用和集成十进制编码器均采用优先编码优先编码方案。方案。24特备参考*模型模型A0A1An1Y0Y1Ym-1n线m线译码器2nm2nm2nm全译码器全译码器部分译码器部分译码器3.3.2 译码器译码器 把代码状态的特定含义翻译出来的过程称把代码状态的特定含义翻译出来的过程称为译码,实现译码操作的电路称为译码器。为译码,实现译码操作的电路称为译码器。译码器就是把一种代码转换成另一种代码的电路。译码器就是把一种代码转换成另一种代码的电路。25特备参考译码译码是是编

19、码编码的逆过程。的逆过程。 将表示特定意义信息将表示特定意义信息的二进制代码翻译出来。的二进制代码翻译出来。 译码器译码器( (即即 Decoder) ) 二进制二进制代码代码 与输入代码对应与输入代码对应的特定信息的特定信息 译码器译码器 实现译码功能的电路实现译码功能的电路 26特备参考译码器译码器 二进制译码器二进制译码器 非二进制译码器非二进制译码器 荧光显示译码器荧光显示译码器 变量译码器变量译码器 显示译码器显示译码器 液晶显示译码器液晶显示译码器 按显示按显示材料材料 按显示按显示内容内容 发光二极管译码器发光二极管译码器 文字译码器文字译码器 数字译码器数字译码器 符号译码器符

20、号译码器 27特备参考一、二进制译码器一、二进制译码器 设二进制译码器的设二进制译码器的输入输入端为端为n n个,则个,则输出输出端为端为2 2n n个,且对应于输入代码的每一种状态,个,且对应于输入代码的每一种状态,2 2n n个输出中只个输出中只有一个为有一个为1 1(或为(或为0 0),其余全为),其余全为0 0(或为(或为1 1)。)。 二进制译码器可以译出输入变量的二进制译码器可以译出输入变量的全部全部状状态,故又称为态,故又称为变量译码器变量译码器。A0A1An1Y0Y1Ym-1n线m线译码器2nm全译码器全译码器n n 位位二进制二进制代码代码 2 2n n 位位译码译码输出输出

21、二进制二进制译码器译码器 28特备参考输入输入输出输出A BY0 Y1 Y2 Y30 01 0 0 00 10 1 0 01 00 0 1 01 10 0 0 12 2线线4 4线译码器真值表线译码器真值表00mBAY 33mABY 22mBAY 11mBAY 逻辑函数:逻辑函数:2线线4线译码器电路线译码器电路1.21.2线线4 4线译码器线译码器29特备参考S1,S2,S3为片选端,为片选端, S1=1,S2+S3=0 时,时,Gs输出高电平,译输出高电平,译码器处于工作状态。码器处于工作状态。2.32.3线线8 8线译码器线译码器74LS13874LS138:控制电路:控制电路:30特备

22、参考3 3线线8 8线译码器线译码器74LS13874LS138的功能表的功能表S1S2+S3A2A1A0Y0Y1Y2Y3Y4Y5Y6Y70 0 xxxx11111111x1 1xxx11111111100000 011111111000110 011111110010110 011111100111110 011111010011110 011110101111110 011101101111110 011011111111110 0输出输入片选选通,输入某种状态,则对应的最小项输出项为片选选通,输入某种状态,则对应的最小项输出项为0 0。31特备参考 74LS138A2A1A0Y0Y1Y2

23、Y3Y4Y5Y6Y7STCSTBSTAY0Y1Y2Y3Y4Y5Y6Y7逻辑功能示意图逻辑功能示意图 3 线线 8 线线译码器译码器 74LS138 3 3 位位二二进制码进制码输入端输入端8 8 个个译码输出端译码输出端低电平有效。低电平有效。使能端使能端 STA 高电平有效,高电平有效, STB、STC 低低电平有效电平有效,即当即当 STA = 1, STB = STC = 0 时时译码译码,否则禁止译码。,否则禁止译码。32特备参考例:试用两片例:试用两片3 3线线8 8线译码器线译码器74LS13874LS138组成组成4 4线线1616线译码线译码器,将输入的器,将输入的4 4位二进

24、制代码位二进制代码D D3 3D D2 2D D1 1D D0 0译成译成1616个独立的低电个独立的低电平信号平信号Z Z0 0Z Z1515。 Y0 Y1 Y2 Y7 S2 Y3 7 4 L S 1 3 8(1) Z5 Z0 Z6 Z1 Z7 Z3 Z2 Z4 Y4 Y5 Y6 S3 S1 A0 A1 A2 Y0 Y1 Y2 Y7 S2 Y3 7 4 L S 1 3 8(2) Z1 3 Z8 Z1 4 Z9 Z15 Z11 Z10 Z1 2 Y4 Y5 Y6 S3 S1 A0 A1 A2 解:输出信号解:输出信号输入信号和输入信号和级联问题级联问题1 1 1片(片(2)工)工作译码作译码0

25、 0 011 1 1片(片(1)工)工作译码作译码0 0 00Z8Z15Z0Z7D2 D1 D0D333特备参考 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10Y11 Y12 Y13 Y14 Y15 使能使能 译码输出译码输出 A0A1A2 A3 “1” 译码输入译码输入 A0A1A2 STA STB STC 低位片低位片 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0A1A2 STA STB STC 高位片高位片 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 74LS138的级联的级联3 3线线-8-8线译码器扩展成线译码器扩展成4 4线线-16-16线译码器线译

26、码器34特备参考Y0Y1Y2Y7S2Y374LS138(1)Z5Z0Z6Z1Z7Z3Z2Z4Y4Y5Y6S3S1A0A1A2Y0Y1Y2Y7S2Y374LS138(2)Z13Z8Z14Z9Z15Z11Z10Z12Y4Y5Y6S3S1A0A1A2D0D1D2D3+5v74LS13874LS138扩展的扩展的4 4线线1616线译码器线译码器35特备参考 二进制译码器的二进制译码器的应用应用很广,典型的应用有以很广,典型的应用有以下几种:下几种: 实现存储系统的地址译码;实现存储系统的地址译码; 实现逻辑函数;实现逻辑函数; 带使能端的译码器可用作数据分配器。带使能端的译码器可用作数据分配器。36

27、特备参考u 用译码器实现逻辑函数用译码器实现逻辑函数写出函数的写出函数的标准与或表达式(最小项之和)标准与或表达式(最小项之和),并,并变换为变换为与非与非- -与非形式与非形式 ;画出用二进制译码器和与非门实现这些函数的接画出用二进制译码器和与非门实现这些函数的接线图。线图。 n n线线22n n线译码器有线译码器有2 2n n个代码组合,包含了个代码组合,包含了n n变量函数变量函数的全部最小项。当译码器的的全部最小项。当译码器的使能端有效使能端有效时,每个输出时,每个输出(一(一般为低电平输出)般为低电平输出)对应相应的最小项对应相应的最小项, ,即即 。因此只要将函数的输入变量加至译码

28、器的地址输入端,并因此只要将函数的输入变量加至译码器的地址输入端,并在输出端辅以少量的门电路,便可以实现逻辑函数。在输出端辅以少量的门电路,便可以实现逻辑函数。 iiiMmY 一般步骤:一般步骤:37特备参考例:试利用例:试利用3 3线线8 8线译码器线译码器74LS13874LS138设计一个多输出的组设计一个多输出的组合逻辑电路。输出的逻辑函数式为:合逻辑电路。输出的逻辑函数式为: ABCBAZ 1CBACAZ 2BAZ 3解:最小项之和形式解:最小项之和形式7601mmmABCCABCBAZ 4312mmmCBABCACBAZ 54323mmmmCBACBABCACBABABAZ 化为与

29、非与非式化为与非与非式7607601mmmmmmZ 4314312mmmmmmZ 543254323mmmmmmmmZ 38特备参考画逻辑电路画逻辑电路 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 74LS138 A2 A1 A0 S1 S2 S3 C B A 1 & & & Z2 Z3 Z1 39特备参考数据输入数据输入地址码输入地址码输入Y0Y1Y2Y3Y4Y5Y6Y7多路分配器框图多路分配器框图 由地址码切换控制,将各种输入数据分时地传递给不由地址码切换控制,将各种输入数据分时地传递给不同的输出端,实现多路数据分配。同的输出端,实现多路数据分配。多路数据分配器多路数据分配器40特备参

30、考地址码输入地址码输入数据输入数据输入“1”“1”多路数据输出多路数据输出例:利用例:利用3 3线线-8-8线译码器构成线译码器构成8 8路输出的多路分配器。路输出的多路分配器。41特备参考二二- -十进制译码器的输入是十进制数的十进制译码器的输入是十进制数的4 4位二进制编码(位二进制编码(BCDBCD码),分别用码),分别用A A3 3、A A2 2、A A1 1、A A0 0表示;输出的是与表示;输出的是与1010个十进制数字相对应个十进制数字相对应的的1010个信号,用个信号,用Y Y9 9Y Y0 0表示。由于二表示。由于二- -十进十进制译码器有制译码器有4 4根输入线,根输入线,

31、1010根输出线,所以根输出线,所以又称为又称为4 4线线-10-10线译码器。线译码器。二、二、 二二-十进制译码器十进制译码器8421 BCD码译码器码译码器把二把二- -十进制代码翻译成十进制代码翻译成1010个十进制数个十进制数字信号的电路,称为二字信号的电路,称为二- -十进制译码器。十进制译码器。将将 BCD BCD 码的十组代码译成码的十组代码译成 0 - 9 0 - 9 十个十个对应输出信号的电路,又称对应输出信号的电路,又称 4 4 线线 10 10 线线译码器。译码器。42特备参考74LS42 74LS42 译码器功能表译码器功能表43特备参考集成集成8421 BCD码译码

32、器码译码器74LS42 16 15 14 13 12 11 10 9 74LS42 1 2 3 4 5 6 7 8 VCC A0 A1 A2 A3 Y9 Y8 Y7 Y0 Y1 Y2 Y3 Y4 Y5 Y6 GND 74LS42 A0 A1 A2 A3 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 A0 A1 A2 A3 (a) 引脚排列图引脚排列图 (b) 逻辑功能示意图逻辑功能示意图 输输出出:反码输出:反码输出44特备参考 10 10 个译码输出端,个译码输出端, 低电平低电平 0 0 有效。有效。4 4 线线-1

33、0 -10 线译码器线译码器74LS4274LS42逻辑示意图逻辑示意图Y1Y0Y3Y4Y2Y5Y6Y7Y8Y9A0A1A274LS42A3 8421BCD 8421BCD 码输入端,码输入端,从高位到低位依次为从高位到低位依次为 A A3 3、A A2 2、A A1 1 和和 A A0 0 。45特备参考111111111111111111111111011111111111111011111111111100111111111111110111111111110101伪伪码码0111111111100191011111111000181101111111111071110111111011

34、06111101111110105111110111100104111111011111003111111101101002111111110110001111111111000000Y9Y8Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2A3输输 出出输输 入入十进十进制数制数4 4 线线-10 -10 线译码器线译码器 74LS42 74LS42 真值表真值表00000010001001000111100110101000101100010000000000111111111111111111111111111111111111111111111111111111111111111101111

35、011001111010101伪伪码码0146特备参考三、三、 数码显示译码器数码显示译码器用来驱动各种显示器件,从而将用二进制代用来驱动各种显示器件,从而将用二进制代码表示的数字、文字、符号翻译成人们习惯的形码表示的数字、文字、符号翻译成人们习惯的形式直观地显示出来的电路,称为显示译码器。式直观地显示出来的电路,称为显示译码器。在数字系统中,经常需在数字系统中,经常需要将数字或运算结果显示出要将数字或运算结果显示出来,以便人们观测查看。数来,以便人们观测查看。数码显示电路是数字系统的重码显示电路是数字系统的重要组成部分要组成部分 。 数码显示电路通常由数码显示电路通常由译码器译码器、显示器显

36、示器等部等部分组成。分组成。 显示译码器的输出信号用以显示译码器的输出信号用以驱动驱动显示器件,显示器件,显示出显示出0-90-9十个数字。十个数字。 47特备参考数码显示电路通常由数码显示电路通常由译码器译码器、显示器显示器等部分组成。等部分组成。 这种显示器由七段这种显示器由七段可发光的字段组合而成可发光的字段组合而成 1.1. 七段数码显示器件七段数码显示器件 半导体数码显示器(半导体数码显示器(LEDLED) 七段数码显示器七段数码显示器 液晶显示器(液晶显示器(LCDLCD) 半导体数码显示器的半导体数码显示器的优点优点是工作电压较低,是工作电压较低,体积小,寿命长,工作可靠性高,响

37、应速度快,体积小,寿命长,工作可靠性高,响应速度快,亮度高。亮度高。缺点缺点是工作电流大,耗电大。是工作电流大,耗电大。 液晶显示器的主要液晶显示器的主要优点优点是功耗极小,工作是功耗极小,工作电压低。电压低。缺点缺点是显示不够清晰,响应速度慢。是显示不够清晰,响应速度慢。48特备参考液晶显示器(液晶显示器(LCDLCD):液晶是一种既具有液体的流动性又:液晶是一种既具有液体的流动性又具有晶体光学特性的有机化合物。外加电场能控制它的具有晶体光学特性的有机化合物。外加电场能控制它的透明度和显示的颜色,由此制成透明度和显示的颜色,由此制成LCDLCD。液晶显示器两个电极上加液晶显示器两个电极上加5

38、0HZ500HZ 的交变电压。的交变电压。玻璃盖板 透明电极(正面电极) 反射电极(公共电极)液晶液晶加电场加电场未加电场未加电场符号符号暗灰色暗灰色优点:功耗极低;缺点:亮度很低,响应速度慢。优点:功耗极低;缺点:亮度很低,响应速度慢。透明色透明色49特备参考YA0A1A2数码显示译码器数码显示译码器译译码码器器YYYYYY驱驱动动器器YYYYYYYA3a数码显示器数码显示器bcdefgbcdefgabcdefga 数码显示译码器的结构和功能示意数码显示译码器的结构和功能示意0101a数码显示器数码显示器bcdefgYA0A1A2数码显示译码器数码显示译码器译译码码器器YYYYYY驱驱动动器

39、器YYYYYYYA3bcdefgabcdefga输入输入 BCD BCD 码码输出驱动七段数码管显示相应数字输出驱动七段数码管显示相应数字000150特备参考 驱动各种显示器件,从而将用二进制代码表示的数驱动各种显示器件,从而将用二进制代码表示的数字、文字、符号等翻译成人们习惯的形式,并字、文字、符号等翻译成人们习惯的形式,并直观直观地显地显示出来的电路,称为显示译码器。示出来的电路,称为显示译码器。显示器件显示器件发光二极管数码管(发光二极管数码管(LEDLED数码管)数码管)优点:亮度高,响应时间短;优点:亮度高,响应时间短;缺点:工作电流大。缺点:工作电流大。 a b c d e f g

40、 h a b c d a f b e f g h g e c d (a) 外 形 图 (b) 共 阴 极 (c) 共 阳 极 +VCC a b c d e f g h COMCOM51特备参考BCDBCD七段显示译码器七段显示译码器驱动共阴极显示器驱动共阴极显示器驱动共阳极显示器驱动共阳极显示器输出状态为:输出状态为: 高电平高电平或或低电平低电平输出状态为:输出状态为: 低电平低电平或或高阻态高阻态亮亮 灭灭 亮亮 灭灭52特备参考abcedfgh共阴极共阴极LEDLED53特备参考2.辅助控制端功能:辅助控制端功能:试灯输入端试灯输入端LTLT:低电平有效。当:低电平有效。当LT=0LT=

41、0时,数码管七段全亮时,数码管七段全亮, ,与输入的译码信号无关。用于测试数码管的好坏。与输入的译码信号无关。用于测试数码管的好坏。灭零输入端灭零输入端RBIRBI:低电平有效。当:低电平有效。当LT=1LT=1, RBI =0RBI =0时,且译码时,且译码输入为输入为0 0的二进制码的二进制码00000000时,该位输出不显示,即时,该位输出不显示,即0 0字被熄灭字被熄灭。当译码输入不为当译码输入不为0 0时,该位正常显示。用于消隐无效的时,该位正常显示。用于消隐无效的0 0。如如数据数据073.40073.40可显示为可显示为73.473.4。灭灯输入、灭零输出端灭灯输入、灭零输出端B

42、I/RBOBI/RBO。此端可以作输入端,也可以。此端可以作输入端,也可以作输出端。作输入端使用时,如果作输出端。作输入端使用时,如果BI=0BI=0时,数码管七段全灭时,数码管七段全灭,与译码输入无关。作输出端使用时,受控于与译码输入无关。作输出端使用时,受控于RBIRBI和和LTLT。当。当RBI=0RBI=0,LT=1LT=1,且输入为,且输入为0 0的二进制码的二进制码00000000时,即时,即实现实现“灭零灭零”时,时,RBORBO输出低电平,即输出低电平,即RBO=0RBO=0,用以指示该片正处于灭零状,用以指示该片正处于灭零状态。态。54特备参考译码器小结译码器小结 把代码状态

43、的特定含义翻译出来的过把代码状态的特定含义翻译出来的过程称为译码,实现译码操作的电路称为译程称为译码,实现译码操作的电路称为译码器。实际上译码器就是把一种代码转换码器。实际上译码器就是把一种代码转换为另一种代码的电路。为另一种代码的电路。 译码器分译码器分二进制译码器二进制译码器、十进制译码十进制译码器器及字符及字符显示译码器显示译码器。 二进制译码器二进制译码器以以高电平输出高电平输出能产生输能产生输入变量的入变量的全部最小项全部最小项,以,以低电平输出低电平输出能产能产生输入变量的生输入变量的全部最大项全部最大项,即最小项的反。,即最小项的反。55特备参考题题【3-203-20】;题题【3-213-21】(1 1)、()、(3 3););题题【3-223-22】(2 2)、()、(4 4)。)。56特备参考

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!