基于CPLD的无刷直流电机控制器设计毕业设计

上传人:仙*** 文档编号:70964620 上传时间:2022-04-06 格式:DOC 页数:63 大小:3.34MB
收藏 版权申诉 举报 下载
基于CPLD的无刷直流电机控制器设计毕业设计_第1页
第1页 / 共63页
基于CPLD的无刷直流电机控制器设计毕业设计_第2页
第2页 / 共63页
基于CPLD的无刷直流电机控制器设计毕业设计_第3页
第3页 / 共63页
资源描述:

《基于CPLD的无刷直流电机控制器设计毕业设计》由会员分享,可在线阅读,更多相关《基于CPLD的无刷直流电机控制器设计毕业设计(63页珍藏版)》请在装配图网上搜索。

1、Z S T UZhejiang Sci-Tech University本 科 毕 业 设 计BachelorS THESIS论文题目: 基于CPLD的无刷直流电机驱动器设计 浙 江 理 工 大 学机械与自动控制学院毕业论文诚信声明我谨在此保证:本人所写的毕业论文,凡引用他人的研究成果均已在参考文献或注释中列出。论文主体均由本人独立完成,没有抄袭、剽窃他人已经发表或未发表的研究成果行为。如出现以上违反知识产权的情况,本人愿意承担相应的责任。 声明人(签名): 年 月 日摘要无刷直流电机(BLDC)利用电子换相装置代替了有刷直流电机的机械换相装置,使其既具有直流电动机的调速性能,且体积小、重量轻、

2、效率高、无励磁损耗等特点,同时又具有交流电机结构简单、运行可靠、维护方便等优点。因此在国民经济的各个领域,如医疗机械、仪器仪表、化工、纺织以及家用电器和办公自动化等方面都有广泛的应用。而在对系统提出响应速度快、精度高、控制板体积小、可靠性高等要求下,采用基于单片机等芯片的控制系统一般难以实现这一要求,而基于DSP等芯片的控制系统一般成本又太高。但随着无刷直流电机的各种控制方法正日趋成熟,特别是大规模现场可编程门阵列FPGA、CPLD的出现,为实现这些要求提供了可能,本课题便是基于这一背景而提出的。本文提出了一种基于CPLD的无刷直流电机控制器。重点分析了控制器的硬件电路组成及其工作原理。并进一

3、步绘制了控制器的PCB板。接着针对控制器所肩负的控制任务,提出了完整的控制器算法,并最后用Simulink仿真验证了所提出的控制算法。关键词:BLDC;CPLD;BLDC控制器;BLDC Simulink 仿真; AbstractBrushless DC motor (BLDC) is using electronic commutation device instead of mechanical commutation device of brush DC motor. BLDCs speed performance has the characteristics of DC motors

4、, and it is also has small volume, light weight, high efficiency and no excitation loss advantages. BLDC also has the advantages of AC motor, such as simple structure, reliable operation, convenient maintenance, etcTherefore, BLDC had been using in the wide range fields of national economy, such as

5、medical equipment, instrumentation, chemical, textile, household appliances and office automation.The control requirements of high reliability, such as fast response speed, high precision, small size. Based on MCU chip control system is generally difficult to realize this requirement. And, the cost

6、of control system based on DSP chip is generally too high. However with all kinds of Brushless DC motor control method is becoming more and more mature, especially the large-scale field programmable gate array FPGA, CPLD appeared, provides the possibility to realize these requirements. This topic is

7、 proposed on the basis of this background.This paper presents a brushless DC motor controller based on CPLD . Focus on the analysis of the hardware controller and its working principle. And I have completed the design of PCB controller. According to the control task the controller had, a controller

8、algorithm was proposed. And finally, using Simulink simulation verified that the proposed control algorithm.Key words: BLDC; BLDC controller; BLDC Simulink simulation;目 录摘 要Abstract第1章 绪论11.1课题的背景和意义11.2 国内外研究现状和发展趋势1无刷直流电机简介1无刷直流电机的控制技术31.2.3无刷直流电机控制技术的发展趋势61.3本文的研究内容7第2章 控制器硬件电路设计92.1电路的总体结构92.2各模

9、块解析102.2.1三相桥逆变电路102.2.2逆变电路MOS管的驱动电路142.2.3逆变电路MOS管的过流保护电路162.2.4电源管理202.2.5 CPLD主控242.2.6人机接口242.3 PCB设计29第3章 控制算法设计313.1 控制算法313.1.1 控制器所要完成的任务313.1.2 控制器任务的实现323.2 Simulink介绍343.3 Simulink建模354:逆变桥MOS管过流保护控制453.4 Simulink仿真分析47第4章 总结544.1 总结544.2 展望54参考文献55致 谢57第1章 绪论1.1课题的背景和意义无刷直流电机是在有刷直流电机的基础

10、上产生发展而来的。直流电机因其良好的转矩特性和快速响应能力而在工业界有广泛的应用,其具有调速性能好、运行效率高等诸多优点。但同时由于直流电机存在电刷、换向器等机械接触部件,使得存在机械磨损,而需经常维护和保养;且会产生噪声、火花和无线电干扰,且不能在有粉尘、易燃易爆物质的环境中工作;再加上直流电机有制造成本较高及维修困难等问题,都限制了它的应用范围。无刷直流电机(BLDC)作为近年来迅速发展起来的一种新型电机,正是为解决有刷直流电机的种种缺点而出现的。它利用电子换相装置代替机械换相装置,既具有直流电动机的调速性能,且体积小、重量轻、效率高、无励磁损耗等特点,同时又具有交流电机结构简单、运行可靠

11、、维护方便等优点。因此在国民经济的各个领域,如医疗机械、仪器仪表、化工、纺织以及家用电器和办公自动化等方面都有广泛的应用。而在对系统提出响应速度快、精度高、控制板体积小、可靠性高等要求下,采用基于单片机等芯片的控制系统一般难以实现这一要求,而基于DSP等芯片的控制系统一般成本又太高。但随着无刷直流电机的各种控制方法正日趋成熟,特别是大规模现场可编程门阵列FPGA、CPLD的出现,为实现这些要求提供了可能,本课题便是基于这一背景而提出的。1.2 国内外研究现状和发展趋势无刷直流电机简介无刷直流电机按照工作特性,可以分为两大类:(1)具有直流电机特性的无刷直流电机(BLDC)反电动势波形和供电电流

12、波形都是矩形波的电机,称为矩形波同步电机,又称无刷直流电机。这类电机由直流电源供电,借助位置传感器来检测转子的位置,由所检测出的信号去触发相应的电子换相线路,以实现无接触换相。所以这种无刷电机具有有刷直流电机的各种运行特性。(2)具有交流电机特性的无刷直流电机(PMSM)反电动势波形和供电电流波形都是正弦波的电机,称为正弦波同步电机,又称永磁同步电机。这类电机也由直流电源供电,但通过逆变器将直流电变换成交流电,然后去驱动同步电机。两种电机定子绕组都是相同的,不同的是转子磁钢的制造不同,由此带来了两种电机在控制方法和性能方面的差异。正弦波永磁同步电机相比于方波型无刷直流电机,结构较复杂,使用成本

13、较高。一般需要价格昂贵的光电编码盘来检测转子位置,以提供连续的转子位置信息给控制器。其理论上无转矩脉动,调速比、定位精度高。所以多应用在需要高精度位置控制的场合,当然其控制方式相比于无刷直流电机也要复杂的多。无刷直流电机电流波形为方波,通过控制逆变器的输出电压,使输出电流和梯形波形反电动势的平顶部分相位一致。电机绕组一般工作于120导通状态下,控制比较简单,对位置传感器要求低,一个电周期内只需要知道转子的六个位置即可,因此成本也低。所以在对电机定位精度要求不特别高的传动场合,永磁无刷直流电机因其控制简单,效率高,体积小而具有明显的优势13。但迄今为止,还没有一个公认的统一标准对无刷直流电机进行

14、准确的分类和定义,而一般人们所称的无刷直流电机即指第一种,即具有直流电机特性的矩形波型永磁无刷直流电机(BLDC)。无刷直流电机的基本结构:在有刷直流永磁电动机中,定子主要由永磁体磁极、导磁轭和电刷构件组成,转子主要由电枢绕组和换向器所组成。通过换向器与电刷连续依次的开关动作,实现对特定位置的线圈绕组的通电,而获得恒定的电磁转矩,使得电机能够连续旋转。在无刷直流永磁电机中,电枢绕组被设置在定子上,永磁体磁极被设置在转子上。转子永磁体磁场相对于定子各项电枢绕组的位置,由转子位置传感器所感知。电子换向电路获得这一位置信号后,按照设定的一定逻辑去驱动与电枢绕组相连接的相应的功率晶体管,以驱动相应的绕

15、组。即是,通过电子换向器实现对特定绕组的驱动,而使转子获得连续的电磁转矩而转动,其结构如图1-1。图1-1 永磁无刷直流电机组成框图从上面的简单介绍不难发现,无刷直流永磁电动机与有刷直流永磁电动机,工作原理基本完全一致,只是用电子换向器取代机械换向器而已。而他们的具体区别在于,有刷直流永磁电动机有很多独立的绕组,也即是很多的换向器,以实现转子磁场与定子磁场的实时正交,而获得较连续恒定的电磁转矩。进而如果用电子换向器直接代换机械换向器的工作,将包含大量的功率晶体管开关元件和与之相适应的转子位置传感器,就目前的科技水平而言,这种方法没有实用价值也很难实施。因此,在当前的无刷直流永磁电动机中,定子电

16、枢采用类似于一般交流电动机的三相绕组,借助转子位置传感器检测出转子永磁体磁场与定子电枢绕组三相轴线之间的相对空间位置信号,进而通过处理这些信号来进行控制,实现定子电枢三相绕组的电子换向2。无刷直流电机的控制技术目前,国内外无刷直流电机的一般控制技术已经比较成熟,我国已经制定了GJBI863无刷直流电机通用规范。当前的研究热点主要集中在以下三个方面:研究无位置传感器控制技术以提高系统可靠性,并进一步缩小电机尺寸与重量。从电机设计和控制方法等方面出发,研究无刷直流电机转矩波动抑制方法,从而提高其伺服精度,扩大其应用范围。设计可靠、小巧、通用性强的集成化无刷直流电机控制器38。1)无位置传感器检测技

17、术无位置传感器控制技术,顾名思义即是不用位置传感器,而主要通过电机内容易获取的电压或电流等信号,经过一定的算法处理,间接得到转子位置信号。常用的转子位置间接检测法如图 1-2 所示39。图 1-2 转子位置间接检测法(1)反电势法:其原理是转子旋转时,会在定子绕组上感应出反电动势,该反电动势的相位反映转子位置信息,进而通过检测反电动势信号即可间接得到转子位置信号。实际设计中,依靠检测浮空相的端电压得到反电动势过零点,在过零点之后30电角度即为换相时刻。其原理简单、实现方便、应用广泛。(2)电感法:利用凸极永磁转子旋转时,定子电感是转子位置和相电流的函数,定子绕组电感会随着电机转子位置变化而变化

18、的原理,提出了用绕组电感来检测转子位置的方法。该方法可以达到较高的检测精度,但是该方法实现难度较大,在电机运行过程中,需要对定子绕组电感不停的进行检测和计算。(3)续流二极管法:通过检测反向并联在驱动管上二极管的导通状态来得出转子的位置。续流二极管法的基本原理还是基于反电势法,但它是从电流角度来考虑反电势的。该方法能够弥补反电动势检测法在低速下的不足,能够检测更低的速度范围。(4)观测器估计法:是一种利用卡尔曼滤波法和状态观测器来检测电机转子的方法。尤其近十年来,随着微处理器性能的提高,这种方法已获得进一步发展,被用到了实际的无刷电机控制系统中。(5)磁链估计法:是一种用磁链估计位置的检测方法

19、,通过对检测得到的电压和电流信号进行积分计算,得到磁通。再根据转子初始位置,电机参数,磁通之间的关系方程出发,计算出转子位置信息。虽然这种方法计算稍复杂,但误差较小、调速范围较广。(7)变电机结构法:是一种对转子结构或定子结构作改善,而实现电机的无位置传感器控制。如表面粘贴式转子无刷直流电机中,添加辅助短路转子绕组,以获取电机转子位置信息,或在电机转子表面贴装非磁性材料,从而能通过检测该材料涡流反应造成的断开相电压,来获得转子位置信息。(8)智能估计法:利用神经网络控制和模糊控制等智能算法,建立电机的电压、电流和转子位置信号之间的关系式,其控制精度较高。但相对传统无位置传感器控制方式而言,算法

20、复杂程度和运算时间较大。采用无位置传感器控制的无刷直流电机,较难直接启动。因此这种电机的启动方式将始终是研究的热点和难点4。2) 转矩波动抑制研究相对于正弦波型永磁同步电机,梯形波型无刷直流电机最大的问题是存在电磁转矩脉动。电机加工过程中机械加工带来的误差造成感应电动势的不对称、永磁材料磁性性能的不一致、定子换向过程的影响等,都会带来转矩波动5。转矩脉动会直接降低电力传动系统控制特性和驱动系统的可靠性,并带来振动、谐振、噪声等问题。因此,抑制换相转矩波动是无刷直流电机研究领域的一项重要内容,许多学者在这方面进行了大量的研究工作。文献6详细分析了无刷直流电机转矩产生的原理及转矩波动存在的必然性。

21、国内相关学者也对无刷直流电机的转矩波动进行了大量的研究。文献7对电枢反应引起的转矩波动进行了分析,并从换相控制和磁路设计两个方面提出抑制脉动的方法。综上,引起无刷直流电机转矩波动的原因是复杂的,应该针对不同的情况采用相应的控制方法,因为各种方法都有各自的优缺点和适用场合。3)无刷直流电机控制器研究无刷直流电机控制器经历了从分立元件到数字可编程集成电路控制方式的发展历程。采用分立电子元器件的无刷直流电机控制器,结构复杂、体积较大,因而可靠性和通用性也相对较差,还不利于批量生产。因此,当前主要采用专用集成电路(ASIC)控制器、FPGA、CPLD、单片机和DSP控制器等方式。目前,很多半导体厂商,

22、都能提供自己开发的电机控制专用集成电路,如MicroLinear公司的ML4425/4428无位置传感器电机控制芯片。专用集成电路控制器具有,结构简单、性价比高、外围器件比分立式控制器少的优点,但在使用时也会受到一定的限制,功能扩展性不好,很难进行产品升级等操作。因此,如果考虑到控制器今后的软硬件设计功能要求,可使用CPLD、FPGA、单片机或DSP等对无刷直流电机进行控制,该类控制器具有功能完善和控制灵活等特点,当然相应的成本可能会比专用集成电路控制器高。CPLD可以用VHDL或Verilog语言来编程,具有静态可重复编程和动态在线系统重构的特性,使得硬件的功能可以像软件一样可通过编程来修改

23、。典型的以DSP为控制核心的无刷直流电机控制系统如下图1-3 所示。图1-3 典型的无刷直流电机控制系统DSP等微处理器强大的计算能力使许多智能控制算法在无刷直流电机控制中得以实现,近年来无刷直流电机的全数字化智能控制成为业界相关人员的研究热点8。相信更高效完善的控制方案将不断呈现。无刷直流电机控制技术的发展趋势无刷直流电机主要由电机本体、驱动电路和位置传感器三部分组成,其控制涉及电机技术、电力电子技术、检测与传感器技术和控制理论技术。因此,新电子技术和新控制方法的出现都将进一步推动无刷直流电机的发展。1) 小型化与集成化微机电系统(MEMS)技术的发展将使电机朝着控制电路和传感器高度集成化的

24、方向发展。但到目前为止,由于技术上的限制,电机与控制器一体化产品主要还是应用在磁盘驱动器的主轴驱动和仪器用风扇驱动等特殊结构的小功率无刷直流电机控制系统中。而对于一般的工业用无刷直流电机控制系统,是否将控制器装入电机内部,还需要综合考虑系统成本、电路工作可靠性及维修方便性等因素315。2)控制器全数字化高速微处理器及高密度可编程逻辑器件的出现,为电机控制技术的发展提供了坚实的基础。例如,在一些对控制成本和空间要求严格的应用中,增加位置传感器不太实用或无法接受,而DSP等芯片固有的高速计算能力正可被用来实现无刷直流电机的无位置传感器控制。一些相对复杂的控制算法使得能够用DSP、CPLD或者FPG

25、A等芯片来实现。控制器的全数字化将使系统的硬件结构更加简化。同时还使得控制器可以与上层和远程控制系统进行数据传输通信,便于系统故障的监视与诊断316。3) 绿色PWM控制及其高效化无刷直流电机控制系统采用功率晶体管驱动时,驱动电路的开关频率一般在25kHz,该频率范围内引起的噪声在人耳声频范围之内,不利于人的身体健康。采用MOSFET和IGBT之后,开关频率可达几十千赫兹以上。这样,不论是电磁噪声还是电流波形都能得到改善。因此,利用软开关等新技术,来降低开关损耗、增加开关寿命,并在保证系统效率不变或提高的前提下,提高驱动电路的开关频率可实现无刷直流电机控制系统的绿色化PWM控制317。1.3本

26、文的研究内容本论文针对现已广泛应用的有位置传感器的无刷直流电机,提出了一种采用CPLD为控制核心的无刷直流电机驱动方案。主要进行的工作包括以下几个部分:1)查找文献,了解无刷直流电机的控制特性:论述分析无刷直流电机的系统组成和工作原理,用以实现其驱动方案。2)控制器硬件电路设计:设计分析一种以CPLD为控制核心的有位置传感器无刷直流电机的驱动方案。其包括如下几部分:三相桥逆变电路:采用MOS三相全桥驱动,拟采用二相导通星形三相六状态驱动方式。逆变电路的驱动电路:弱电控制强电,接收CPLD主控芯片对于各功率管的控制信号,生成相应的信号驱动对应的三相桥的功率管。逆变电路的保护电路:采样检测流过功率

27、管的电流,防止由于某种原因而使功率管过流烧毁。CPLD:系统的主控芯片及其基本外设。电源管理:把总电源变换成各模块需要的额定工作电压,分配给各个模块。人机接口:显示系统当前的运行状态,接收用户的控制指令,生成相应的信号传递给主控芯片。电机的3路霍尔输出:拟直接接入CPLD主控芯片。3)控制算法设计:根据已有的成熟算法,结合自身的应用场合和功能要求,选择一种合适的控制算法。并用Simulink仿真工具,进行控制算法的仿真、检验,以完善控制手段。 第2章 控制器硬件电路设计2.1电路的总体结构控制器硬件电路的模块划分如下图2-1:三相桥逆变电路:采用MOS三相全桥驱动,采用二相导通星形三相六状态驱

28、动方式。逆变电路的驱动电路:弱电控制强电,接收CPLD主控芯片对于各功率管的控制信号,生成相应的信号驱动对应的三相桥的功率管。逆变电路的保护电路:采样检测流过MOS功率管的电流,防止由于某种原因而使功率管过流烧毁。CPLD:系统的主控芯片及其基本外设电源管理:把总电源变换成各模块需要的额定工作电压,分配给各个模块;并包含对电源的过压和欠压检测电路。人机接口:通过LED指示灯,显示系统当前的运行状态;接收用户的控制指令,生成相应的信号传递给主控芯片。电机的3路霍尔输出:直接接入CPLD主控芯片图 2-1 基于CPLD的BLDC控制器结构框图2.2 各模块解析三相桥逆变电路逆变电路采用三相六臂全桥

29、结构,其简化的原理图如下图2-2所示。图2-2 三相桥逆变电路原理图其三个桥臂都是完全相同的结构,通过门极输入的PWM控制信号,实现每相的PWM电压输出,实现对电机的调压驱动。下面以采用H_PWM_L_ON的方式生成PWM波,来驱动电机为例,说明电路的工作过程。这种驱动方式,具体的说是,对于电机的三相连接线A、B、C端口,其中悬空相端口:与其相连的两个MOS管全部高阻;其中需要高电平的相端口:与其相连的上端的MOS管栅极接收PWM控制信号,间歇性的导通关断,而另一个下端MOS管完全高阻,即是使这相受到电源的PWM驱动;而剩下的需要低电平的端口:与其相连的上端MOS管高阻,下端MOS管完全导通接

30、地。比如,在AB相导通时,给Q1栅极的是PWM信号,给Q4的是完全导通信号(栅极高电平),而其余MOS管全部高阻(栅极低电平)。这样既可通过调节PWM信号的占空比,调节AB相的驱动电压。但在这存在一个问题,由于电机绕组电感的存在,相电流不能突变,这就导致在上端的MOS管PWM周期关短时刻,在A端将产生很大的逆感应电动势。故MOS中已经集成的这个稳压二极管发挥着延续相电流流动,防止击穿MOS管的作用。对电路实际应用时的几个问题的分析:1)MOS管的选型P(positive)沟道MOSFET的载流子是空穴,与电子相比,它的“活动性”差,且有“少数载流子生存时间”短的缺陷,这些都是影响半导体器件性能

31、的重要参数。通常P沟道FET的性能较差,他有较高的栅极门限电压、较高的以及较低的饱和电流9。所以方案中,采用全N(negative)沟道的MOSFET构成逆变桥。实际选用的型号为IRFP2907。2)MOS管的驱动在选择全N沟道MOSFET后,由其工作特性可知,当给栅源极间加一个正向电压,并且其值超过数据手册上的阈值电压(以IRFP2907为例,100A的导通饱和电流对应)时, 场效应管的D极和S极就会导通(I-V特性曲线),且一般N型功率型场效应管的阈值电压都会在320V之间。依旧以AB相导通为例,此时Q1和Q4管导通,一般场效应管的导通电阻都在毫欧级,所以B点的电位近似为0V,A点的电位就

32、近似为VCC。这就使得要驱动这两个MOS管,Q1管的栅极电压要大于(),Q4管的栅极电压要大于。这使得采用CPLD端口直驱(或加三极管信号放大直驱)的方式将不可行。故方案中采用了三片MOS管的专用驱动芯片(IR2181),来驱动各自的MOS管桥臂。详见逆变电路MOS管的驱动电路。3)选用的IRFP2907 MOSFET的基本参数:1. 额定参数(Absolute Maximum Ratings) 参数名称最大值单位持续漏极电流,209A漏极峰值电流840A功耗470W栅源极击穿电压V方案实际采用的逆变电路原理图如下图2-3所示。图2-3 方案实际采用的逆变电路 图2-4 构成逆变桥的基本MOS

33、模块 图2-5 MOS管外围驱动电路 从图中不难发现,逆变电路由如图2-4所示的完全相同的MOS模块构成。由于电势的相对性,故对于每个模块来说,他们的工作原理也是完全一致的。故下面只对其中一个做分析此驱动电路中,MOSFET工作在开关管状态,可以用SR开关模型对其建模,结合栅极电容,可描述为SRC模型10,如下图2-6所示:图2-6 MOSFET的开关-电阻-电容(SRC)模型其中,查表可知,模型也可用代数方式描述为: (1)如图2-5所示,模块中用虚线包围的这部分电路,是驱动MOS管的辅助电路。此模块用MOS管的SRC模型可等价描述为如图2-7所示的电路。图2-7 MOS管外围驱动电路的等效

34、电路其中各元器件的作用如下:R6的4个作用:1)防止震荡:上级的I/O输出口及连接导线都会带点分布电感,这使得在电压突变的情况下可能和栅极电容形成振荡,当它们之间串上R6后,可增大阻尼而减小振荡效果。2)减小栅极充电峰值电流:当栅极电压拉高时,首先会对栅极电容充电,充电峰值电流可大致计算为: (2)可见驱动脉冲电流很大,串上R6后可放慢充电时间而减小栅极充电电流3)保护场效应管的D-S极不被击穿: 当栅极关断时,D-S从导通状态变为截止状态时,漏源极电压VDS会迅速增加,如果过快,就会击穿器件,所以添加R6可以让栅极电容慢慢放电,而不至于使器件击穿。4)和IN4744构成稳压电路,防止击穿:栅

35、源极击穿电压,IN4744稳压管稳压值15V。故可防止由于MOS管的驱动电路故障或环境静电而损坏MOS管。MOS管外围的其他的元器件,是MOS管过流保护模块的对流过MOS管电流值的采样电路。详见逆变电路MOS管的过流保护电路。逆变电路MOS管的驱动电路MOSFET的驱动电路设计不当,MOSFET很容易损坏。采用成熟的驱动控制芯片IR2181S组成的电路,可有效简化系统的复杂性。IR2181S优点是可靠性高,外围电路简单,兼容3.3VCMOS和5VLSTTL数字电路静态规则。浮动驱动端可以驱动N通道MOSFET或者IGBT在高压侧电压600V时的场合,最大输出电流可达到1.9A(高端)2.3A(

36、低端)。1)芯片结构及原理和典型电路 其主要由:输入逻辑电路,电平转换器,低端功率晶体驱动管和高端晶体驱动管组成。结构框图如下图2-8所示。图2-8 IR2181的结构框图其典型电路如下图2-9所示。图2-9 IR2181的典型电路2)方案中实际采用电路的分析如下图2-10所示,即为方案中实际采用的基于IR2181的MOS管驱动电路。图2-10 基于IR2181的MOS管驱动电路不难发现,其由完全相同的三个模块来分别驱动逆变电路完全相同的三个MOS桥臂。下面以第一桥臂的驱动电路为例,来进行分析说明。CPLD芯片的两个端口(U_Hin、U_Lin)输出对应信号控制桥臂,上下端MOS管的导通逻辑控

37、制PWM信号,直接接IR2181驱动芯片的输出控制端口(HIN、LIN输入引脚)。其中下端MOS的驱动信号LO,以地为零电势参考点,驱动桥臂下端的MOS管栅极,输出的驱动信号幅值大概在15V左右,满足下端MOS管的大电流导通要求。而另一个上端MOS的驱动信号HO,以VS点的电势为零参考点。当VS点电势需要从低电势变为高电势时,由于自举电容(C24、C27)的存在,使得上端MOS管栅极的驱动信号HO的电势与源极电势VS的差,始终维持在15V左右。这使得上端MOS管满足大电流导通要求。逆变电路MOS管的过流保护电路由于此BLDC控制器,在实际的应用中存在逆变桥功率输出线短接等的安全隐患。从而存在烧

38、毁控制器MOS管的可能。故引入逆变电路MOS管的过流保护电路,是很有必要的。1)MOS管导通电流采样电路:依旧取逆变桥的基本构成模块,进行分析。图2-11 MOS模块的MOS管导通电流采样电路如图2-11,其中用黑色虚线框包围的电路,是MOS管导通电流的采样电路。依旧以MOS管的SRC模型来等价描述这个电路,如下图2-12所示。图2-12 MOS导通电流采样电路的加价模型这个等价电路是在,MOS工作在线性区域时的等价模型。查IRF2907的datesheet可知,试算这个回路的工作电流, (3)查HER104的datesheet,可知:由于非常小,其导通压降在计算中可以取恒值,而基本不影响结果

39、,见下图2-13。图2-13 HER104导通电流与导通压降的关系故: (4)由线性电路的叠加定理可知: (5)则MOS管管压降: (6)所以MOS管导通电流采样电路输出的电压信号: (7)2)MOS管导通电流监测报警电路:从上面的MOS管导通电流采样电路,我们已经获得了流过MOS管的电流与采样输出信号的函数关系式。下面介绍对这个信号进行进一步处理的MOS管导通电流监测报警电路。其实现了强电信号(逆变桥信号)到弱电(CPLD)的隔离,大大提高了系统的稳定性。实际电路如下图2-14所示,其可以分为完全相同的三个子模块,分别对应MOS逆变电路的一个臂。图2-14 MOS管导通电流监测报警电路由前面

40、的MOS管的过流检测电路,我们已经获得了这6个信号。图2-15 MOS管导通电流监测报警电路子模块下面以如图2-15所示,其中一个模块为例加以说明电路的工作原理,其与来自逆变桥第一个桥臂MOS管导通电流采样电路输出的和信号相对应。子模块中上端结构对应逆变电路桥臂的上端MOS管,其下端结构对应逆变电路桥臂的下端MOS管。不难发现这两部分都只工作在对应MOS管的导通阶段(是我们所希望的),而在此时,的电压约恒等于15V,故这个子模块的两部分的工作状况完全等效。所以下面只对其中一个做分析。查三端可调稳压管LM431的datesheet得:,所以当: (8)时,信号被光耦管拉地,输出过流报警信号给CP

41、LD。电路细节分析:(1)信号经过RC滤波器传递到LM431控制端口。其中RC滤波器的截止频率为:589.8Hz;时间系数:。其作用是滤除逆变电路信号夹杂的高频杂波(主要由PWM驱动而引入)。显然降低截止频率可以明显提高滤波效果,但同时伴随提高的时间系数,会降低信号传播的实时性。()查LTP2812的datesheet可知:LED最大允许电流,集电极最大电流;其元件特性曲线及负载曲线如下图2-16所示。图2-16 光耦TLP281元件特性曲线及负载曲线查三端可调稳压管LM431的datesheet可知:控制端最小电流,输出电阻,最大阴极电流,故,考虑到要充分导通光耦LTP2812、三端可调稳压

42、管LM431,和拉地信号,取。由负载特性曲线: (9)得:光耦集电极电流:,且此时光耦LED的导通压降为,故,光耦LED输入端电阻取:电源管理1)电源电压监测电路电源电压采样电路如下图2-17所示,其中两个直插铝电解电容,稳定了电源电压,尤其在负载功率脉动变化的情况下。耐压值选用系统额定输入电压()的2倍,标准化后即63V。图 2-17 电源电压采样电路图中右边为一个电阻分压网络,输出电压采样值: (10)所以的额定电压下,输出。对应的信号检测判断电路如下图2 -18所示。图 2-18 电源电压检测判断电路其采用一片LM358D(SOIC8)低功率双运算放大器,构造了两个电压比较器。基准源来自

43、电源管理模块的稳压输出。其中门限电源电压为: (11) (12)2)稳压芯片构造的稳压电路系统采用了基于LM2575开关稳压集成芯片的、稳压电路。LM2575系列(LM1575,LM2575HV)开关稳压集成芯片是美国国家半导体公司生产的1A集成稳压芯片。它内部集成了一个固定的振荡器,只需极少外围器件便可构成一种高效(约)的开关稳压电路,一般情况下不需散热片;内部有完善的保护电路,包括电流限制及热关断电路等电路;芯片还可提供外部控制引脚。是传统线性三端式稳压集成电路(如7805)的理想替代产品。每一种产品系列均提供3.3V、5V、12V、15V及可调(ADJ)等多个电压档次产品。LM2575系

44、列开关稳压集成电路芯片的主要参数如下:l 最大输出电流:1A;l 最大输入电压:45V;l 输出电压:3.3V、5V、12V、ADJ(可调);l 振荡频率:54kHz;l 最大稳压误差:4%;l 转换效率:75%88%(不同的电压输出的效率不同);l 工作温度范围:-40 125。LM2575的内部结构框图如图2-19所示:其中(ADJ时开路),分别为、和,可以看出LM2575内含电压基准电路、振荡器、比较器、热关断、内部稳压、电流限制及放大器等电路。图2-19 LM2575结构框图LM2575典型应用电路如下图2-20所示(如果需要负电压输出,可将其输出反接实现)。图 2-20 LM2575

45、 +5V稳压输出的典型应用方案中实际采用的即是这种典型电路,如下图2-21所示:图2-21 +5V、+15V稳压电路利用LM2575设计电路时,应注意以下几点: (1)电感的选择根据需要的输出的电压值、最大负载电流、最大输入电压等参数选择电感时,可参照相应的电感曲线图(图2-22)来查找所需的电感值。 图 2-22 LM2575电感曲线图(左5V输出右15V输出)故,考虑到系统的最大输入电压,最大负载电流。取输出的稳压电路的电感值为,取输出的稳压电路的电感值为,都采用贴片屏蔽功率电感。(2)输出电容的选择输出电容推荐使用的电容量为100F470F,其耐压值至少应是额定输出的1.5倍。对于5V电

46、压输出,至少使用耐压值为8V的电容,推荐使用耐压值为10V15V的电容,故系统中取10V耐压值。而15V电压输出,至少使用耐压值为23V的电容,故系统中取25V。(3)二极管的选择二极管的额定电流值应大于最大负载电流的1.2倍,但考虑到负载短路的情况,二极管的额定电流值应大于LM2575的最大电流限制;另外,二极管的反向电压应大于最大输入电压的1.25倍。故方案中实际采用的IN5819肖特基二极管(允许反向电压40V,额定电流1A)满足要求。(4)输入电容的选择 在芯片输入端接一个铝或胆电容,有利于系统的稳定。实际方案中都采用了100uF/50V直插铝电解电容。2.2.5 CPLD主控主控CP

47、LD芯片采用Lattice公司的MACH4系列芯片:M4-32/32-7VC(TQFP-44)。其主要参数如下:工作电压:5-V(兼容3.3-V输入)逻辑宏单元:32个I/O口:32个管脚延时:7.5ns主频:111MHzJTAG口:兼容3.3-V和5-V在系统编程实际应用的电路原理图,如下图2-23所示:图2-23 CPLD核心板其中采用100MHz的贴片有源晶振。2.2.6 人机接口1)控制器状态显示电路:系统中还包括LED状态显示电路,其原理图如下图2-24所示:图2-24 控制器状态显示电路其包括逆变桥MOS管过流(红色)、电机正反转(绿色)、系统正常(绿色)、控制器电源过压(红色)和

48、控制器电源欠压(红色)LED显示功能。2)电机正反转及制动按钮和转速调节旋钮电路:电机正反转及制动按钮电路如下图2-25所示:图2-25 电机正反转按钮电路其中主要由两个自锁选择按键构成,S1-F/R控制电机的正反转,开启状态(低电平)为正转,按下(高电平)反转;S2-STOP控制电机制动,按下(高电平)制动。并各有一个外接端口,方便实际应用时外接控制手柄。图2-26电机转速控制旋钮电路:PWM生成电路电机转速控制旋钮电路如上图2-26所示:其中虚线框中的部分电路,由555芯片的结构(如下图2-27)可知,其构成一个施密特触发器11 492。图2-27 555芯片结构其电压传输特性如下图2-2

49、8所示:图2-28 施密特触发器电压传输特性相应的(芯片引脚7的电压)关于的电压传输特性如下图2-29所示图2-29 电路中电压传输特性考虑到电路中两个二极管对电流流动的控制作用(如图2-30),原电路可等效描述为如下图2-31形式 图2-30 二极管对电流的控制作用 图2-31 原电路的等价模型定性分析可知,其输出波形如下图2-32所示图2-32 对原电路定性分析估计的输出波形下面对针对原电路的各个元件的参数,进行定量分析。由施密特触发器的性质可知,的电压在时发生反转,故的电压被限定在。则由RC串联电路的特性可知,瞬时电流: (13)则, 查IN4148的数据手册可知,在上述求得的电流范围下

50、,其管压降基本在0.6V左右,考虑到远小于VCC电压,故计算时忽略。由RC串联电路的特性可知11496:电容充电时间: (14)电容放电时间: (15)故得输出PWM的占空比: (16)周期为: (17)所以原电路输出PWM的占空比约为:,周期约为:0.048s,其中占空比为: (18)故,此电路输出的PWM的占空比与线性电位器转过的角度,基本呈正比例关系变化。系统中采用PWM波的形式来把目标转速信号转递给CPLD的原因如下:转速信号是一个模拟量,一般可经滑动变阻器的分压特性,转化为相对应的模拟电压信号。但问题出现了,CPLD芯片没AD转化功能,系统中专门增加一块AD芯片又无疑参加系统成本。故

51、采用这种用PWM占空比来描述模拟电压信号的方式,无疑是最优解。2.3 PCB设计设计的PCB如下图2-33、图2-34 所示:图2-33 控制器PCB正面图2-34 控制器PCB反面图2-35 控制器PCB板3D视图经过多次修改,综合考虑了PCB板的电磁兼容性和布局尺寸因素12,13。第3章 控制算法设计3.1控制算法下面从控制器的硬件结构和应用场合出发,来分析说明控制器如何来完成所肩负的任务。 控制器所要完成的任务本BLDC控制器应用于类似于驱动电动自行车电机的应用场合。由电机学可知,一台BLDC电机可由如下物理参数定义:各相绕组内阻R()、各相绕组电感L(H)、逆感应电动势系数(V/rad

52、/s)、电磁转矩系数(N.m/A)、粘性阻尼系数B(N.m/(rad/s))、转动惯量J(Kg.m2)、和电机磁极数P。而一台电机的运动参数可由以下变量描述:电机的机械状态有转速(rad/s)、转角(rad)、转矩T(N.m);电机的电气状态有,相电压V_a、V_b、V_c(V)和相电流I_a、I_b、I_c(A)。而这些运动参数并不是完全相互独立的,电机的运动学方程描述了这些变量间的关系。而控制器人机接口硬件电路,阐明了控制器至少应该能控制电机的转速(rad/s)这个运动参数。并根据硬件的正反转及制动按钮,可进一步把这个被控制的电机参数行为划分为:转速大于0、转速小于0和转速变位0。根据硬件

53、的转速调节旋钮,还可进一步把这个参数行为划分为:转速增加和转速减小。考虑到这种应用场合和硬件电路结构决定的,控制器实现的是开环BLDC控制:控制器逆变电路决定了,控制器只能通过控制电机的各相电压V_a、V_b、V_c(V)来实现控制电机的运行。故实际控制器仅是通过PWM这种电压控制方式,来控制电机的各相电压来实现控制。进而实际人机接口的各种控制要求映射成了如下形式:电机正转与电机转子相适应的绕组正向旋转磁场;电机反转与电机转子相适应的绕组反向旋转磁场;电机制动各相绕组短接制动;电机转速控制用PWM控制驱动各相绕组的电压大小;系统除了上面的对电机的控制任务外,还包括:系统状态LED显示、逆变桥M

54、OS管过流保护这两个任务。 控制器任务的实现下面介绍主控芯片CPLD如何具体实现上面所陈述的控制器所肩负的任务。任务1:电机转速控制用PWM控制驱动各相绕组的电压大小:由555芯片构建的PWM电路,已经实现了目标转速大小这个模拟量到PWM占空比的转化。那么CPLD芯片只需读取这个占空比的大小即可。实现方案如下:(1)构建一个寄存器A;构建一个脉冲计数器B;(2)在外部PWM输入的上升沿清零脉冲计数器B;(3)在外部PWM输入的高电平器件,计数器B计数芯片系统时钟;(4)在PWM输入的下降沿,把计数器B内的数值传递给寄存器A;那么,寄存器A内的数值CPLD时钟周期输入的PWM高电平宽度(目标转速

55、大小)。CPLD还须构造一个用于分频系统时钟的可调分频数的分频器,用于产生驱动逆变桥MOS管的PWM波。并最终通过把此PWM波加载到逆变桥导通的上端MOS管的门极上,实现对电机相电压大小的控制。即所谓的上端MOS PWM驱动,下端完全导通的电机控制方式。实现方案如下:(1)系统时钟分频器可由对系统时钟计数的计数器构造。设定计数器的初始值,使得实际计数值与目标转速信号大小相对应,并判断计数器的最高位是否置1,即可获得所需PWM的高电平时间。用同样的方法构造低电平时间,拼成一个完整的PWM周期。(2)通过把这个PWM(1、0)信号,和逆变桥上端MOS管控制信号(1、),进行“与(AND)”操作。实

56、现把PWM信号加载到所需要PWM信号的MOS管上。任务2:电机正转与电机转子相适应的绕组正向旋转磁场;电机反转与电机转子相适应的绕组反向旋转磁场;CPLD通过控制逆变电路上MOS管的开关,来相应控制电机各相的导通。而不同的导通顺序,决定了三相绕组所产生的旋转磁场的方向。电机三相霍尔输出与电机三相绕组的真值表如下表3-1所示:表3-1 电机三相霍尔输出与电机三相绕组的真值表电机状态H3H1H2正转反转HAHBHCABCABC110001102110100130101001401110015001011061010110注;其中HA、HB、HC分别对应表示A、B、C三相绕组上的霍尔传感器(还有一种

57、对应表示为:H3、H1、H2);其中表高阻,1表正电压,0表接地;进一步,正转指令下:电机状态与逆变桥MOS管的导通状态的真值表如下表3-2:表3-2 正转指令下:电机状态与逆变桥MOS管的导通状态的真值表状态Q1Q2Q3Q4Q5Q6111211311411511611反转指令下:电机状态与逆变桥MOS管的导通状态的真值表如下表3-3:表3-3 反转指令下:电机状态与逆变桥MOS管的导通状态的真值表状态Q1Q2Q3Q4Q5Q6111211311411511611注:其中表高阻,1表导通;任务3:电机制动控制各相绕组短接制动;当控制器CPLD接收到制动指令,CPLD将关闭所有逆变桥低端的MOS管

58、,开启逆变桥上端的多有MOS管。使得上端MOS管短路BLDC绕组,实现短接制动。该算法总是可以不管电机当前的运行状态而实现制动,而不需要来自霍尔传感器的信号。这使得制动逻辑获得最大的优先级和可靠性。任务4:逆变桥MOS管过流保护控制:当控制器CPLD发现过流保护信号使能,则发送指令给逆变桥,关闭所有MOS管。并且只要一次触发后,在这个系统上电运行周期内,始终有效,直到下次系统上电。这可有效防止因负载电路故障而烧毁MOS管,或已经烧毁一个而继续烧毁其余MOS管的情况。任务5:系统状态LED显示CPLD刷新LED,显示当前系统状态。3.2 Simulink介绍Simulink是一个软件包,运行在M

59、ATLAB的基础之上。利用Simulink,可以搭建很多领域的动态系统,包括电子、机械和热力学系统14。其与用户的交互接口是模型图形,这使得用户可以把更多的精力投入到系统模型的构建,而非底层算法的编程上。用Simulink仿真,可以分为两个步骤:(1)用Simulink的方块图语言描述实际的物理系统,即建模的过程。可以先把目标系统用数学语言描述,接着再转到用Simulink描述:Simulink语言由方块图(blocks)和线(lines/signals)两部分组成,其源自自动控制学科。其中方块图,即是用数学方程描述了输入(input)和输出(output)之间关系。而线(lines/signals),描述了不同方块图的输入输出变量间的传递关系。其中用来连接模块的带箭头线的功能是,单向的把自己的输

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!