基于Multisim的出租车计费器仿真研究

上传人:岁月****20 文档编号:70762358 上传时间:2022-04-06 格式:DOCX 页数:11 大小:547.09KB
收藏 版权申诉 举报 下载
基于Multisim的出租车计费器仿真研究_第1页
第1页 / 共11页
基于Multisim的出租车计费器仿真研究_第2页
第2页 / 共11页
基于Multisim的出租车计费器仿真研究_第3页
第3页 / 共11页
资源描述:

《基于Multisim的出租车计费器仿真研究》由会员分享,可在线阅读,更多相关《基于Multisim的出租车计费器仿真研究(11页珍藏版)》请在装配图网上搜索。

1、电子设计与制作课程设计通信工程班级:姓名:学号:指导教师:设计时间:成绩:评语:电子设计与制作实习报告实习目的此次电子设计实习主要为大学四年的专业课起承接作用,完成此次设计有三部分目的。首先对大学两年来所学的有关电子设计方面专业课进行巩固,将所学的理论知识应用到实践中来,理论联系实际,进一步加深对已学相关专业知识的认识。其次,通过此次电子设计,熟悉并掌握电子设计电路的一般设计方法,熟悉电子元器件的表示、参数及封装样式。在设计出租车里程计价表电路过程中,进一步系统地掌握相关专业知识及元件作用,学习利用相关软件进行电子线路的调试,掌握电子电路的仿真及应用仿真软件。最后,通过此次实习,加强对本专业的

2、了解,通过设计,培养同学们的动手能力,从而为以后学习更加高深的专业知识打好基础。2、 实习时间3、 实习内容及过程(1) 设计题目出租汽车里程计价表设计与仿真(2) 设计目的1、 掌握出租汽车里程计价表的设计与仿真;2、 熟悉同步十进制系数乘法计数器芯片的工作原理和使用方法;3、 掌握计数器、寄存器和译码器及显示电路的原理和使用方法。(3) 设计要求1、 设计出租汽车里程计价表电路;2、 选用中小规模集成器件;3、 具有在线仿真及显示电路。(4) 出租车计费器原理框图出租车计价器根据乘客乘坐时汽车行驶路程的多少计价,并在行驶过程中同步显示车费。从起步价3元开始,汽车里程未满1.5公里时,均按起

3、步价计算。超过1.5公里,则在起步价基础上按每0.1公里加0.2元计算路程和计费可通过十进制加法计数器实现。要设计一个精度为0.1公里,计费范围为99.9元的计费器,则需有6个数码管,前三个用来显示路程,后三个用来显示计价。起价(X.X元)可以通过计数器的置数端进行数据预置。这里行车里程用脉冲信号代替,每来一个脉冲代表0.1公里路程。出租车计费器主要由路程计数电路、比较器电路、计费电路、显示电路和时钟脉冲信号源等组成,其原理框图如图:图一出租车计价器设计原理框图(5) 出租汽车里程计价表核心器件介绍1、 74LS16074LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功

4、能。使用74LS160通过置零法或置数法可以实现任意进制的计数器。(1) 异步清零:当RO1时,QgQ1=Q2=Q3=0;(2) 同步预置:当LD=1时,在时钟脉冲CP上升沿作用下,QgDO,Q1=D1,Q2=D2,Q3=D3。(3) 锁存:当使能端EP-ET=O时,计数器禁止计数,为锁存状态。(4) 计数:当使能端EP=ET=1时,为计数状态。图二74LS160逻辑功能图图三74LS160引脚图2、7485数字比较器图四数字比较器引脚图数字比较器:对两个位数相同的二进制数进行比较,并判定其大小关系的逻辑电路。3、拨码开关用来操作控制的地址开关,采用的是0/1的二进制编码原理。每一个键对应的背

5、面上下各有两个引脚,拨至ON侧,这下面两个引脚接通;反正则断开。这四个键是独立的,相互没有关联。此类元件多用于二进制编码。可以设接通为1;断开为0,则有:0000、0001、0010、1110、1111一共是16种编码。图五拨码开关OAGTBOAEQB-OALT-B.A1-B1-A0B0-AGTBAEQBALTB7485N(六)总原理图图六出租车计价器设计总原理图(七)单元电路设计及原理分析1、初始值设定计费器的所有清0功能通过开关S4完成。S5和初始值设定部分包括里程置数和起价置数两部分。里程置数由开关VCCU1U2U3U14U15U16-T5VV1GNDMi?200HzGDCDIEG_GX

6、REEN_GREENV2GNDVCC400Hz5V丁5VRDEN_GREENVCCU45VWS2VCC5V3456ABCDQAQBQCQD14131211710913456710ENPRCOENTLOADCLRCLK1574LS160NU5AQABQBCQCDQDENPRCOENT乙LOAD二CLR24CLK1574LS160NABCDABCDQQQQZ_ENPRCoH-10ENT斑=仝情U7U1114512611VCC2VCCCLK9LOADCLR4314133415156ENPENTA1B1A0B0710ABCDQAQEQCQDA3B3A2B2AGTBAEQBALTBOAGTIOAEQOA

7、LTB1211109RCO15VCCllllllllU9B2A1B1A0B01511314s5T74LS160NS8U126)15RC9VCCCLK74LS160NS9U13CLK74LS160N34710ABCDLOADCLRENPENTABCDLOADCLRENPENTQAQBQCQDQAQBQCQD1413127生9141312RCO.153456A3OAGTB3OAEQA2OALTBAGTBAEQBALTB7485NU17AU10A74S04D74LS136DVCCS10|5VGND键二空格S6完成;起价置数部分由比较器U9和反相器U10A产生置数信号,由开关S10和U11(74LS1

8、60N)进位输出接入异或门U17A产生置数脉冲,从而使U12(74LS160N)获得起价并通过数码管显示。2、 汽车行驶路程计数主要由计数器74LS160N和数码管组成。用74LS160N芯片(U4U6)的级联进行路程累加。为了简化设计,这里汽车行驶路程用脉冲信号表示,每个脉冲表示0.1公里路,通过数码管显示出来并且数码管最后一位表示小数。3、 路程比较电路路程比较电路主要由三片7485N(U7U9)数值比较器和预置1.5km输入端组成。当行程小于1.5km时,U9的5脚OAGTB()输出为0,从而使计数器U11、U13处于保持状态;7脚OAGTB()输出为1,从而使计数器U11、U13处于可

9、计数状态;7脚OAGTB(B,U9的7端输出为0,通过反相器使得U12的9脚为1,置数无效,U12处于可计数状态,其计数工作过程为:U11输出1001前进位输出为0加在异或门输入端,而开关S10加在异或门输入端的逻辑值为1,这样异或门输出1;当U11为1001时进位输出为1,由于异或门输入均为1,因而输出为0,这样使得U12的时钟脉冲端2脚正好得到一个下降沿而计数。另外,U9的5脚输出1,此时与5脚相连的U11、U13的使能端ENP=ENT=1,使U11、U13处于计数状态。其仿真结果如图:VCCGNDHEEEDNGGREENU712VCC7485NU8141512109VCCn74LS160

10、N7485NU915314412569RCO43VCC7485N74LS160rS43434QAQBQCQD151A1B1A0B0OAGTB OAEQB OALTBAB56A3B3A2B2AGTB AEQB ALTBA3B3A2B2A1B1A0B0AGTBAEQB ALTBA3B3A2B2A1B1A0B0AGTBAEQB ALTBOAGTBOAEQB OALTBENPENT141310432_2-CLK15:D-LOAD CLROAGTB OAEQB OALTB9111107101312VCC14710U14U15U16ENPENTLOAD CLRCLKQA QB QCQDRCO1574LS1

11、60NA B C DQAQBQCQD14121TENPENTRCOLOADCLR1 CLK1314gndvccV2U1167RCO9CLK2CLKA B C D-LOAD CLRENPENT7107A B C DENPENTA B C D-LOAD CLRENPENTQA QB QCQD-LOAD CLR34563433456400 Hz 5 VVCCVCCT* CLK141374LS160NU12RCOGNDU10A74S04DXDDNG1115QA QBQCQD141374LS160NU13QA QB QC QDRCOHGEREENG_GREEN141312111574LS160NU17A;74LS136D11VCCS10 I 5VGND键=空格四、实习总结及体会(一)组员分工(二)实习总结(三)实习心得体会(四)参考文献1周来秀.基于Multisim的仿真研究R.TN79.湖南:中国科技信息.20112黄培根.MultisimlO虚拟仿真和业余制版实用技术M.北京:电子工业出版社,2008

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!