基于vhdl和multisim的病房呼叫系统数电课设

上传人:仙*** 文档编号:68698725 上传时间:2022-04-03 格式:DOC 页数:18 大小:663.50KB
收藏 版权申诉 举报 下载
基于vhdl和multisim的病房呼叫系统数电课设_第1页
第1页 / 共18页
基于vhdl和multisim的病房呼叫系统数电课设_第2页
第2页 / 共18页
基于vhdl和multisim的病房呼叫系统数电课设_第3页
第3页 / 共18页
资源描述:

《基于vhdl和multisim的病房呼叫系统数电课设》由会员分享,可在线阅读,更多相关《基于vhdl和multisim的病房呼叫系统数电课设(18页珍藏版)》请在装配图网上搜索。

1、 成 绩 评 定 表学生姓名xxx班级学号13030602xx专 业通信工程课程设计题目病房呼叫系统设计与实现评语组长签字:成绩日期 2015 年 月 日课程设计任务书学 院信息科学与工程学院专 业通信工程学生姓名xxx班级学号13030602xx课程设计题目病房呼叫系统设计与实现实践教学要求与任务:1、了解数字系统设计方法2、熟悉掌握VHDL语言及其仿真环境、下载方法3、熟悉Multisim环境4、设计实现病房呼叫系统工作计划与进度安排:第15周 熟悉设计任务、查阅资料、进行原理分析及可行性论证第16周 在Quartus环境中用VHDL语言设计实现病房呼叫系统的程序,在Quartus II环

2、境中实现、下载、调试,在Multisim环境中仿真设计实现病房呼叫系统 ,最后 通过验收、答辩、提交报告。指导教师: 2015年 月 日专业负责人: 2015年 月 日学院教学副院长: 2015年 月 日摘 要在医院能够最好的为病人服务是很重要的,但是护士不能总是在每一位病人身边。所以,病房呼叫系统对一个服务好的医院来说是很必要的。本课设采用两种方式,其一,基于VHDL的病房呼叫系统设计实现病房呼叫系统的仿真波形图。其二,基于multisim的病房呼叫系统设计与实现,主要通过8/3线优先编码器74LS148、74LS47D BCD-七段译码器、按键控制、数码管显示、蜂鸣器报警和指示灯组成。使得

3、病人可以及时的通知医生,并且医生也能够很快的知道是哪个病房、哪个床位的病人在求助。关键词:译码器; 编码器;蜂鸣器报警; 数码管显示目录一、课程设计目的1二、课设题目实现框图1三实现过程23.1设计原理23.2 基于multisim的病房呼叫系统设计33.2.1指示灯显示模块33.2.2蜂鸣器警示模块43.2.3病房按键输入模块43.2.4数码管显示模块53.2.5总电路模块53.3 基于VHDL的病房呼叫系统设计6四、课设结果分析114.1 基于multisim的病房呼叫系统结果分析114.2 基于vhdl 的病房呼叫系统结果分析13五、总结13六、参考文献14一、课程设计目的病房呼叫系统是

4、一种应用于医院病房、养老院等地方的专用呼叫系统,用来联系沟通医护人员和病员。当病员需要医护人员帮助时,可以通过病房呼叫请求。它的应用一方面为医院或养老院提高护理水平和护士的工作效率,减轻护理人员的劳动强度;另一方面也为病员提供了方便,提高病员的舒适程度。病房呼叫系统的应用还能规范医院中病区或养老院内的秩序,改善护理人员和病员的关系,是现代化医院必备的辅助设备。作为一种多功能智能化的病房护理辅助设备,病房呼系统不同于专业医疗设备。病房呼叫系统不是直接采用物理或化学医疗手段使被护理人得到治疗,它是直接医疗手段之外的另一种医疗辅助手段。对病员来说,直接的医疗是必不可少的,但是缺乏细致的护理关怀对康复

5、是很不利的。病房呼叫系统就能在这方面起到巨大的作用。二、课设题目实现框图电路设计共分为四个模块:(1)病房按键输入模块(2)指示灯显示模块(3)蜂鸣器警示模块(4)数码管显示模块电路设计流程图:图2.1 电路设计流程图三实现过程3.1设计原理multisim电路设计中采用了8/3线优先编码器74LS148、74LS148有8个数据端(07),3个数据输出端(A0A1),1个使能输入端(EI,低电平有效),两个输出端(GS,E0)。数据输出端AC根据输入端的选通变化,分别输出000111这07二进制码,经逻辑组合电路与74LS47D BCD-七段译码器/驱动器的数据输入端(AC)相连,最终实现设

6、计要求的电路功能,电路中与门74LS08DD的输出端(3、6、8)与74LS147D BCD-七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。病房呼叫系统仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。K1K7为病房呼叫开关,在其下方的Key=1。Key=7分别表示按下键盘17数字I键,即可控制相应开关的通道。L1L7为模拟病房门口的呼叫指示灯,当呼叫开关K1K7任何开关被按下时,相应开关上的指示灯即闪烁发光,要求当一号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即“1”号病室的优先级别最高,其他病室的级别依次递减,7号病室级别最低,

7、当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的号码即为当前优先级别最高的病室呼叫号码,同时在有呼叫的病房门口的指示灯闪烁。待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。同时护士值班室的数码管即显示相对最高优先级别的病房号,而且蜂鸣器SP会令计算机上的扬声器发声。全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。3.2 基于multisim的病房呼叫系统设计3.2.1指示灯显示模块图3.2.1 指示灯显示电路3.2.2蜂鸣器警示模块图3.2.2 上拉电阻电路 图3.2.3蜂鸣器警示电路3.2.3病房按键输入模块 图3.2

8、.4 病房按键输入3.2.4数码管显示模块图3.2.5 数码管显示电路3.2.5总电路模块图3.2.6 病房呼叫系统总电路3.3 基于VHDL的病房呼叫系统设计为实现病房呼叫系统的功能,可用VHDL编写一个程序实现,具体操作过程如下:(1) 点击File-New创建一个设计文件。图3.3.1 创建一个设计文件(2)选择设计文件的类型为VHDL File。(3)点击OK,系统显示如图3.2.2,窗口右侧为VHDL的编辑窗口。 图3.3.2 VHDL的编辑窗口(4) 在编辑窗口中编辑以下程序library ieee;use ieee.std_logic_1164.all; entity we is

9、 port( d:in std_logic_vector (7 downto 0); leds:out std_logic_vector(6 downto 0); q:buffer std_logic_vector(6 downto 0); end we; architecture one of we is signal encode: std_logic_vector(2 downto 0); begin process(d,encode) begin if d(1)=1 then encode= 001 ; elsif d(2)=1 then encode= 010; elsif d(3)

10、=1 then encode= 011; elsif d(4)=1 then encode=100; elsif d(5)=1 then encode =101; elsif d(6)=1 then encode=110; elsif d(7)=1 then encode =111; elsif d(0)=1 then encode=000; end if; end process; process(d,q) begin if d(1)=1 then q(0)=1; else q(0)=0; end if; if d(2)=1 then q(1)=1; else q(1)=0; end if;

11、 if d(3)=1 then q(2)=1; else q(2)=0; end if; if d(4)=1 then q(3)=1; else q(3)=0; end if; if d(5)=1 then q(4)=1; else q(4)=0; end if; if d(6)=1 then q(5)=1; else q(5)=0; end if; if d(7)=1 then q(6)=1; else q(6) leds leds leds leds leds leds leds leds Star Compilation编译该文件,系统将开始编译,结束后,给出提示信息和编译结果。图3.3

12、.4 编译结果成功(7)建立时序仿真文件,选择VectorWaveformFile在Name空白处点击右键,Insert-Insert Node or Bus,中单击Node Finder,点击List,再点击 - OK - OK图3.3.5 建立时序仿真文件(8)仿真结果如图图3.3.6波形仿真图四、课设结果分析 4.1 基于multisim的病房呼叫系统结果分析 当按下按键1时,1号病房的指示灯闪烁,蜂鸣器作用,并且护士值班室的数码管显示器显示数字“1”。依次推理,当其他病房分别按下按键时,其他病房门口的指示灯也会闪烁,蜂鸣器作用,护士值班室的数码管显示器会分别显示其他病房的号码。作用结果

13、如下图所示:按下按键1,一号病房指示灯闪烁,数码管显示1,蜂鸣器作用 图4.1.1 1开关按下时按照优先级,当1号跟其他一些号码被按下时,数码管优先显示数字“1” 图4.1.2 多个开关按下时4.2 基于vhdl 的病房呼叫系统结果分析 一号、二号、三号、四号、五号、六号、七号病房病人按下呼叫按钮时,由于指示灯不分优先级,指示灯都会亮,然而数码管的显示则跟病房的优先级密切相关。优先级高的显示,优先级低的,需等待优先级高的被处理之后再行处理。例如仿真图中当一号和七号的按钮被摁下时,一号和七号的指示灯都会亮,但是数码管的数是一,优先级高的先进行处理。当只有一个病房的按钮被摁下时,指向该病房的指示灯

14、亮,数码管显示该病房的号码。五、总结在本次数字电路课程设计中,病房呼叫系统共完成五个模块:(1) 病房按键输入模块:当病人有需要医生的时候只需摁下按键。(2) 指示灯显示模块:当有病人摁下按键时,指向该病房的指示灯会亮,进而通知医生。(3) 蜂鸣器警示模块:当有病人摁下按键时,蜂鸣器会响,通知医生有情况。(4) 数码管显示模块:当多个病人同时摁下按键时,数码管显示优先级高病房的号码。优先级高的先进行处理。(5) 总电路模块:当按键摁下时,指示灯会亮,蜂鸣器会响,数码管显示病房号码。由于是第一次做数字电路的课程设计,对于相关的设计过程和分析方法并不太熟练。遇到了不少问题,在向老师和同学请教的过程中,改正了不少的错误认识,对数字电路的设计与分析方法的掌握也有了一定的提高,我相信这些知识与经验对以后的学习会有极大的帮助,最后要谢谢老师同学们的指导。六、参考文献1. 数字电子技术基础简明教程(第三版)2. 潘松,王国栋.VHDL实用教程.电子科技大学出版社, 2001.3. 焦素敏 数字电子技术基础(第二版) 人民邮电出版社4. 康华光 主编,电子技术基础(数字部分 第五版),高等教育出版社,2008.1.

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!