交通灯控制器的设计.EDA课程设计

上传人:wj****e 文档编号:68504667 上传时间:2022-04-02 格式:DOC 页数:21 大小:68KB
收藏 版权申诉 举报 下载
交通灯控制器的设计.EDA课程设计_第1页
第1页 / 共21页
交通灯控制器的设计.EDA课程设计_第2页
第2页 / 共21页
交通灯控制器的设计.EDA课程设计_第3页
第3页 / 共21页
资源描述:

《交通灯控制器的设计.EDA课程设计》由会员分享,可在线阅读,更多相关《交通灯控制器的设计.EDA课程设计(21页珍藏版)》请在装配图网上搜索。

1、2008级学生EDA课程设计 EDA课程设计报告书课题名称 交通灯控制器的设计姓 名胡伟明学 号 0812201-46院 系物理与电信工程系专 业电子信息工程指导教师周来秀 讲师2011年 6月10日一、 设计任务及要求:(1)十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用LED灯表示当前的交通状态。(2)能实现正常的倒计时功能。用两组七段数码管倒计时显示当前交通状态剩余秒数。(3)该交通灯的亮灭顺序如下:东西绿灯40秒黄灯5秒东西红灯25秒南北红灯45秒南北绿灯20秒黄灯5秒(4)能实现特殊状态的功能。例如消防车、救护车或其他需要优先放行的车辆通过时实现下列功能:按下特殊状态键后,能

2、实现特殊状态功能;显示倒计时的两组数码管闪烁;计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数;(5)能实现总体清零功能。按下清零键后,系统实现总体清零,计数器由初始状态计数,对应状态的指示灯亮。(6)用VHDL语言设计符合上述要求的交通灯控制器,并用层次化设计方法设计该电路。指导教师签名: 年 月 日 二、指导教师评语:指导教师签名: 年 月 日 三、成绩验收盖章 年 月 日 交通灯控制器的设计1设计目的通过设计交通灯控制器,了解EDA技术,了解并掌握VHDL硬件描述语言的设计方法和思想,巩固和综合运用所学过的EDA原理知识,提高分析、解决实际问题的独

3、立工作能力。2设计的主要内容和要求交通灯控制器的设计,设计了主干道的交叉路口交通信号灯无人自动管理的控制系统。将路口红绿灯的各种亮灯情况定义不同的状态,路口状况定义为触发条件,组成有限状态机。交通控制器能完成以下功能:1能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态,用两组红、黄、绿三色LED灯作为两个方向的红、黄、绿灯;2南北向为主干道,每次通行时间为45S,东西向为支干道,每次通行时间为25S;3能实现正常的倒计时功能,用两组数码管作为东西、南北向的倒计时显示。其中,黄灯:5S。4能实现特殊状态的功能。按下SP键后,能实现以下特殊功能:(1)显示倒计时的两组数码管闪烁;(2)计数

4、器停止计数并保持在原来的状态;(3)东西、南北路口均显示红灯状态;(4)特殊状态解除后能继续计数;5. 能实现全清零功能。按下reset键后,系统实现全清零,计数器由初状态计数,对应状态的指示灯亮;6. 用VHDL语言设计上述功能的交通灯控制器,并用层次化方法设计该电路;7. 仿真、验证设计的正确性。3 整体设计方案3.1方案分析通过分析课程设计的要求可以知道,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口,其主要功能是:主干道处于常允许通行的状态,支干道有车来时才允许通行;当支干道没有车通行时,主干道亮绿灯,而支干道亮红灯,主、支干道的倒计时数码管

5、不显示数字;当支干道有车通行时,进入了主干道和支干道交替通行的情况。 当进入到交替通行时,主干道每次放行45秒,支干道每次放行25秒。首先主干道通行45秒,并且主干道显示45秒的倒计时,此45秒内主干道亮绿灯,当45秒计时结束,主干道亮黄灯5秒,而且显示5秒倒计时,用于绿灯转为红灯作为过渡,使行驶中的车辆有时间停到禁行线外,在主干道亮绿灯和黄灯的50秒内,支干道亮红灯50秒,而且支干道显示50秒的倒计时。然后,当支干道亮红灯50秒结束时,主干道由黄灯转为红灯,并且主干道的红灯亮30秒,显示30秒的倒计时,在此过程中,支干道先亮绿灯25秒,显示25秒的倒计时,25秒过后绿灯转为黄灯,而且黄灯亮5

6、秒,显示5秒倒计时,用于绿灯转为红灯作为过渡,使行驶中的车辆有时间停到禁行线外。支干道通行结束后,主干道通行,以此交替。3.2方案状态机控制设计将十字路口分成东西走向和南北走向的主干道和支干道。交通灯的工作明显可以分成5个状态,如下表3.1所示:表3.1 工作状态表支干道是否有车状态实现功能支干道没车St0支干道亮红灯,主干道亮绿灯,数码管不显示。支干道有车St1主干道亮绿灯45秒,数码管显示45秒倒计时;支干道亮红灯,数码管显示从49秒倒计时到05秒。St2主干道亮黄灯5秒,数码管显示5秒倒计时;支干道亮红灯,数码管显示从04秒倒计时到00秒。St3支干道亮绿灯25秒,数码管显示25秒倒计时

7、;主干道亮红灯,数码管显示从29秒倒计时到05秒。St4支干道亮黄灯5秒,数码管显示5秒倒计时;主干道亮红灯,数码管显示从04秒倒计时到00秒。3.3整体设计方案根据交通灯控制器的功能与要求,可以把整体设计分为三个模块:分频模块,把2kHz的频率分成1Hz,1Hz用于倒计时计数的时钟信号,而2kHz则可以用于数码管扫描显示的片选时钟信号;交通灯控制及倒计时(五个状态的控制)模块;数码管译码扫描显示模块。整体的系统框图如图3.1所示: 图3.1系统框架图分频模块就是把输入的2kHz时钟频率2000分频得到1Hz的频率用于数码管倒计时的时钟信号,其原理就是设计一个0到999循环计数的的计数器,当计

8、数溢出,即计数到999时使输出量取反,则输出为0.5秒的高电平和0.5秒的低电平交替出现,就得到了1Hz的方波,作为秒倒计时的时钟信号。 交通灯控制及倒计时模块就是五个状态的转换模块,是整个系统的核心模块,其五个状态分别st0、st1、st2、st3、st4。其中st0是当支干道没有车通行的状态,st1是主干道绿灯亮45秒的状态,st2是主干道亮黄灯5秒的状态,st3是支干道亮绿灯25秒的状态,st4是支干道亮黄灯5秒的状态。当主干道亮绿灯和黄灯时,支干道都是亮红灯,当支干道亮绿灯和黄灯时,主干道都是亮红灯,并且主、支干道都会显示亮灯的倒计时时间,主、支干道的红黄绿灯用六个LED发光二极管代替

9、。五个状态图如下图3.2所示:图3.2状态图数码管倒计时显示,是用四个一体的数码管,分别表示主干道和支干道的秒倒计时,所以是动态扫描显示,扫描的频率直接用2KHz的输入时钟频率。4 软硬件电路的设计4.1 各模块的原理及其程序时钟模块设计时钟分频模块就是把输入的2kHz时钟频率2000分频得到1Hz的频率用于数码管倒计时的时钟信号,其原理就是设计一个0到999循环计数的的计数器,当计数溢出,即计数到999时使输出量取反,则输出为0.5秒的高电平和0.5秒的低电平交替出现,就得到了1Hz的方波,作为秒倒计时的时钟信号。时钟分频模块生成的元件符号如下图4.1所示:图4.1 时钟分频模块clk2kh

10、z是频率为为2khz的输入时钟信号,clk1hz是经过2000分频后得到的频率为1hz方波的输出信号。交通灯控制及计时模块 此模块是整个系统的核心部分,主要功能是完成五个状态的转换,并且在每个状态里完成相应的控制作用,即控制主干道和支干道的红黄绿灯的点亮和各自数码管倒计时显示。编程时主要是用一个进程语句,其敏感信号是时钟分频模块产生的1Hz时钟信号,进程里主要用case语句完成五个状态的控制,在每个状态里要控制主干道和支干道的红黄绿灯的点亮,而且要控制各自数码管倒计时的显示,并为扫描显示译码模块提供倒计时时间,同时要使每个状态结束时能顺利进入下一个状态。五个状态及相应的功能是:St0,支干道亮

11、红灯,主干道亮绿灯,数码管不显示;St1,主干道亮绿灯45秒,数码管显示45秒倒计时;支干道亮红灯,数码管显示从49秒倒计时到05秒;St2,主干道亮黄灯5秒,数码管显示5秒倒计时;支干道亮红灯,数码管显示从04秒倒计时到00秒;St3,支干道亮绿灯25秒,数码管显示25秒倒计时;主干道亮红灯,数码管显示从29秒倒计时到05秒;St4,支干道亮黄灯5秒,数码管显示5秒倒计时;主干道亮红灯,数码管显示从04秒倒计时到00秒。状态转换条件参照图3.2。此模块生成的元件符号如下图4.2所示:图4.2交通灯控制及计时模块clk1hz是分频模块输出的1Hz的时钟信号,car是支干道是否有车的判断信号,o

12、ne1、ten1、one2、ten2分别是主干道倒计时的个位和十位,支干道倒计时的个位和十位。r_a、g_a、y_a、r_b、g_b、y_b分别是主干道和支干道的红、绿、黄灯控制信号。扫描显示译码模块此模块中含有七段数码管译码和扫描显示两个部分。七段译码可以使用case语句,将数码管要显示的数译成对应的七位二进制数,用来控制数码管的a、b、c、d、e、f、g的导通。主干道和支干道倒计时要用到4个数码管,且是四位一体的,则要使用扫描显示的方法:设计一个00到11循环计数的计数器,而且计数的时钟要比较大,选用输入的2KHz的时钟信号;当计数器计数为00时,选通第一个数码管,给它主干道倒计时个位的七

13、段译码,当计数器计数为01时,选通第二个数码管,给它主干道倒计时十位的七段译码,当计数器计数为10时,选通第三个数码管,给它支干道倒计时个位的七段译码,当计数器计数为11时,选通第四个数码管,给它支干道倒计时十位的七段译码,并以此循环扫描显示,达到人眼看上去四个数码管全显示的效果。此模块生成的元件符号如下图4.3所示:图4.3扫描显示译码模块元件符号其中clk2khz是输入的扫描时钟信号。one1、ten1分别是主干道倒计时的个位和十位,one2、ten2分别是支干道倒计时的个位和十位,这四个信号都是有交通灯控制及倒计时模块输出的。Scan是四个数码管的片选信号,seg_7是七段译码输出信号。

14、顶层文件的编写 顶层文件就是将上述的三个模块进行例化,把它们连接起来组成一个整体。元件例化语句由两部分组成,第一部分是将一个现成的设计实体定义为一个元件,它的最简单表达式如下: component 元件名 is port (端口名表); end component 文件名; 元件例化语句的第二部分是此元件与当前设计实体中元件间及端口的连接说明,语句的表达式如下: 例化名:元件名 port map (端口名=连接端口名,.);由顶层文件生成的元件符号如下图4.4所示:图4.4顶层文件生成元件符号clk_2k是外部输入的频率为2khz的时钟信号,car是判断支干道是否有车的输入信号。scan1.0

15、是四位一体数码管的片选输出信号,seg_76.0是数码管的七段译码输出信号,ra、ga、ya、rb、gb、yb分别是主干道和支干道红、绿、黄灯的输出控制信号。4.2 顶层原理图系统原理图如下图4.5所示:图4.5顶层原理图系统工作原理: 把car置为低电平,系统处于 st0状态,即表示支干道没有车来时,主干道亮绿灯,支干道亮红灯,四个倒计时数码管都是灭的。当把car置为高电平并不变后,主干道和支干道分别进入状态st1,st2,st3,st4,并分别正常显示四个状态的内容,实现主干道每次放行45秒,支干道每次放行25秒,从而正确实现交叉路口交通灯的控制。5 系统仿真5.1时钟分频模块仿真仿真波形

16、图如下图5.1所示:T=1s设置的end time是3s,输入clk2kHz是频率为2kHz的方波。图5.1分频模块仿真波形图波形分析:开始时clk1hz为低电平,当计数器第一次计满时,clk1hz由低电平转为高电平,当计数器第二次计满时,clk1hz转为低电平。在这一过程中,clk1hz先后经历了500ms的低电平和高电平,恰好为一个周期1s,之后依次高低交替,得到频率为1Hz的方波。5.2交通灯控制及计时模块仿真仿真波形如下图5.2所示:设置的end time为100ms,clk1hz为周期是1ms的方波(把周期缩小为的是可以缩小仿真的时间,方便波形的仿真)。放大之后图5.2 交通灯控制及

17、倒计时模块仿真波形图波形分析:当car为0时,状态为st0,此状态中主干道亮绿灯,支干道亮红灯,当car为1不变时,变为状态st1,之后进入st1-st2-st3-st4-st1的循环状态,在相应的状态里面也能正确地控制红黄绿灯点亮。将上图中下面太密的地方放大一段后,可以清楚地看到,在状态st1中,主干道进行着45秒的倒计时,而支干道进行着50秒的倒计时,两个倒计时在st0的状态中始终相差5秒。5.3扫描显示译码模块仿真仿真波形如下图5.3所示:方便仿真设设置的end time为6ms,clk2khz周期为500us。当one和ten为10时对应的七段译码是0000000,使数码管不显示,用于

18、支干道没有车的情况!图5.3 扫描显示译码模块的波形仿真图波形仿真说明:为方便观察one1、ten1、one2、ten2及scan用的是无符号十进制数,当scan=0时显示one1,当scan=1时显示ten1,当scan=2时显示one2,当scan=3时显示ten2。Seg_7的从高到低七位分别对应数码管gfedcba七段。5.4顶层文件的仿真顶层文件的波形仿真图如下图5.4所示:为了方便波形仿真,设置end time为1.2s,clk_2k是周期为5us的方波。图5.4 顶层文件波形仿真图仿真波形分析:当car为0时,为状态st0,ga、rb为高电平,即主干道亮绿灯,支干道亮红灯;当ca

19、r由0变为1且不变时,状态转为st1,ga、rb为高电平,即主干道亮绿灯,支干道亮红灯;当st1倒计时结束,则转为st2,ya、rb为高电平,即主干道亮黄灯,支干道亮红灯;当st2倒计时结束,则转为st3,ra、gb为高电平,即主干道亮红灯,支干道亮绿灯;当st3倒计时结束,则转为st4,ra、yb为高电平,即主干道亮红灯,支干道亮黄灯;当st4倒计时结束,则转为st1。当在状态st1,大约在1s处car由高电平变为低电平时,状态则会转为st0。鉴于图5.4中的scan和seg7太密而看不出其中的变化,所以要把其中几段放大才能便于观察。1、st=st0时放大的波形如下图5.5所示:图5.5 s

20、t=st0时放大的一段波形图5.5波形分析:通过图5.5可以清晰地观察到当st=st0时,主干道和支干道的数码管都是灭的,即seg7=”0000000”。2、st=st1时放大的一段波形如下图5.6所示:图5.6 st=st1时放大的一段波形图5.6波形分析:由于要经过clk_2k两千分频后才能得到1hz的倒计时时钟信号,则要经过clk_2k两千个周期后主干道和支干道的数码管秒倒计时才减一秒,所以在顶层文件波形仿真时不好看到倒计时的变化。在图5-6中可以看到在st为st1时主干道的数码管显示为44,支干道的数码管显示为49,即scan为0时,seg7是“1100110”,scan为1时,seg

21、7是“1100110”,scan为2时,seg7是“1101111”,scan为3时,seg7是“1100110”。而st2、st3、st4放大后的波形和图5.6相似。实验结果开始时把car置为低电平,即表示支干道没有车来时,主干道亮绿灯,支干道亮红灯,四个倒计时数码管都是灭的。当把car置为高电平并不变后,主干道和支干道分别继续亮绿灯和红灯,同时主干道的数码管从44开始一秒一秒地倒计时显示直至倒计时到00,而支干道的数码管从49开始一秒一秒地倒计时显示,并且主、支干道的数码管显示值始终相差5。当主干道的倒计时到00(支干道倒计时到05)后的下一秒,主干道的绿灯灭,亮起了黄灯,而且主干道的数码

22、管从04开始秒倒计时直至00,支干道的红灯在这一过程中始终是亮的,而且数码管正常倒计时,和主干道的数码管显示。当主、支干道数码管倒计时到00后的下一秒,主干道的黄灯灭,红灯亮,数码管从29开始一秒一秒地倒计时,而支干道的红灯灭,绿灯亮,数码管开始从24一秒一秒地倒计时,始终和主干道的数码管少5,直至倒计时到00。当支干道数码管倒计时到00(主干道为05)的下一秒后,支干道的绿灯灭,黄灯亮,数码管开始从04一秒一秒地倒计时直至00,而主干道在这一过程中继续亮红灯,数码管继续正常地倒计时,而和支干道数码管显示相同。当主、支干道倒计时到00的下一秒,则进入到主干道亮绿灯,支干道亮红灯的状态,只要ca

23、r依然维持在高电平,就会不断循环支干道有车通信的四个状态。当car从高电平变为低电平后,不管之前处于st1、st2、st3、st4中的任何一个状态都会进入到st0状态,即主干道亮绿灯,支干道亮红灯,四个数码管都不会显示。6 使用说明把car置为低电平,系统处于 st0状态,即表示支干道没有车来时,主干道亮绿灯,支干道亮红灯,四个倒计时数码管都是灭的。当把car置为高电平并不变后,主干道和支干道分别进入状态st1,st2,st3,st4,并分别正常显示四个状态的内容。实现主干道每次放行45秒,支干道每次放行25秒。从而正确实现交叉路口交通灯的控制。7 设计总结通过的紧张工作,完成了我的设计任务交

24、通灯控制器设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的

25、过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。参考文献1 潘松,黄继业.EDA技术使用教程M.北京:科学出版社,2006:320-332.2 黄任.VHDL入门.解惑.经典实例.经验总结M.北京:北京航空航天大学出版社,2005:64-88.3 徐志军,徐光辉.CPLD/FPGA的开发与应用M.北京:电子工业出版社,2002:208-218.4 褚振勇.F

26、PGA设计与应用M.西安:西安电子科技大学出版社,2003:218-230.5 夏宇闻.Verilog数字系统设计教程M.北京:北京航空航天大学出版社,2008:302-325.6 夏宇闻.复杂数字电路与系统的Verilog HDL设计技术D.北京清华大学出版社,1998:28-56.附录1、时钟分频模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div isport(clk2khz:in std_logic;-2khz的输入时钟 clk1hz:out std_logic);-经

27、2000分频后的1hz时钟输出信号end;architecture one of div isbeginprocess(clk2khz)-2KHz to 1Hzvariable count:integer range 0 to 999;-0到999计数器variable clk1:std_logic;beginif clk2khzevent and clk2khz=1 then if count=999 then clk1:=not clk1;count:=0; else count:=count+1; end if;end if;clk1hzseg77seg77seg77seg77seg77

28、seg77seg77seg77seg77seg77seg77=0000000;end case;end process;seg_7=seg77;process(clk2khz,one1,ten1,one2,ten2)-数码管动态扫描计数beginif clk2khzevent and clk2khz=1 then -00到11循环计数器 if cnt=11 then cnt=00; else cntdata=one1;scandata=ten1;scandata=one2;scandata=ten2;scannull;end case;end process;end three;3、交通灯控制

29、及计时模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity state5 isport(clk1hz,car:in std_logic;-1hz倒计时时钟信号 one1,ten1,one2,ten2:out integer range 0 to 10;-倒计时数 r_a,g_a,y_a,r_b,g_b,y_b:out std_logic);-主支干道红黄绿灯end;architecture two of state5 issignal st:std_logic_vector(2 dow

30、nto 0); signal r1,g1,y1,r2,g2,y2:std_logic;beginprocess(clk1hz)-5 states variable eoc:std_logic;-倒计时结束标志位 variable h1,l1,h2,l2:integer range 0 to 10;beginif clk1hzevent and clk1hz=1 thencase st iswhen 000=if car=0 then-支干道没车经过 g1=1;r1=0;y1=0;g2=0;r2=1;y2=0; h1:=10;l1:=10;h2:=10;l2:=10;st=000; else s

31、tif car=0 then st=000;-主干道绿灯亮45秒 else if eoc=0 then h1:=4;l1:=4; h2:=4;l2:=9; eoc:=1; g1=1;r1=0;y1=0;g2=0;r2=1;y2=0; else if h1=0 and l1=1 then stif car=0 then st=000;-主干道黄灯亮5秒 else if eoc=0 then h1:=0;l1:=4; h2:=0;l2:=4; eoc:=1; g1=0;r1=0;y1=1;g2=0;r2=1;y2=0; else if l1=1 then stif car=0 then st=00

32、0;-支干道绿灯亮25秒 else if eoc=0 then h1:=2;l1:=9; h2:=2;l2:=4; eoc:=1; g1=0;r1=1;y1=0;g2=1;r2=0;y2=0; else if h2=0 and l2=1 then stif car=0 then st=000;-支干道黄灯亮5秒 else if eoc=0 then h1:=0;l1:=4; h2:=0;l2:=4; eoc:=1; g1=0;r1=1;y1=0;g2=0;r2=0;y2=1; else if l2=1 then stNULL;end case;end if;r_a=r1;g_a=g1;y_a=

33、y1;r_b=r2;g_b=g2;y_b=y2;one1=l1;ten1=h1;one2=l2;ten2clk_2k,clk1hz=clk_1hz);u2:state5 port map (clk1hz=clk_1hz,car=car,r_a=ra,g_a=ga,y_a=ya, r_b=rb,g_b=gb,y_b=yb,one1=onea,ten1=tena,one2=oneb,ten2=tenb);u3:display port map (clk2khz=clk_2k,one1=onea,ten1=tena, one2=oneb,ten2=tenb,scan=scan,seg_7=seg7); end;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!