省线式编码器串行总线接口的设计与实现设计说明

上传人:be****l 文档编号:68488626 上传时间:2022-04-02 格式:DOC 页数:45 大小:3.47MB
收藏 版权申诉 举报 下载
省线式编码器串行总线接口的设计与实现设计说明_第1页
第1页 / 共45页
省线式编码器串行总线接口的设计与实现设计说明_第2页
第2页 / 共45页
省线式编码器串行总线接口的设计与实现设计说明_第3页
第3页 / 共45页
资源描述:

《省线式编码器串行总线接口的设计与实现设计说明》由会员分享,可在线阅读,更多相关《省线式编码器串行总线接口的设计与实现设计说明(45页珍藏版)》请在装配图网上搜索。

1、大学本科学生毕业设计(论文)省线式编码器串行总线接口的设计与实现Graduation Design(Thesis) of ChongqingUniversityThe Multiplexed Data Line Encoder Serial Bus Interface Design and ImplementationUndergraduate: Su LinghongSupervisor:Prof. Yan XingqunAssistant Supervisor : Prof. Tang XiaoqiMajor: Mechanical Design and Manufacture and A

2、utomationCollege of Mechanical EngineeringChongqingUniversityJune 201138 / 45摘 要本论文围绕全数字交流伺服系统,分析了伺服驱动系统的组成,论述了数控系统和伺服系统的研究现状和发展趋势。重点研究了伺服系统位置反馈装置编码器。在吸收和借鉴国外研究成果的基础上,本文深入研究了省线式编码器与数控伺服系统的串行总线接口。在结合Altera 公司FPGA芯片和光电编码器接口技术的基础上,设计出了省线式编码器串行总线接口装置,该装置由硬件和软件组成。硬件主要由编码器输入接口模块、FPGA模块、编码器上电控制模块以与输出接口模块构成

3、。软件主要由四倍频辨向模块、编码器电影控制模块以与Endat2.2协议模块组成。通过电路板焊接、调试与程序编写、下载后,该装置能够准确读取省线式编码器输出的相对位置信号,并且用FPGA芯片进行四倍频、辨向、计数以与Endat2.2协议输出等处理,成功地将编码器信号反馈给了交流伺服控制系统,构成了控制系统完整的位置和速度反馈环。关键词:交流伺服系统,省线式编码器,FPGA,Endat2.2协议ABSTRACTArounding the all-digital AC servo system,the composition of the servo drive system is discusse

4、d,the current situation and the developing trend for the study of the CNC system and servo drive system are analyzed in this paper.Especially focuses on the position feedback servo system device-encoder.This paper focuses on the serial bus interface between the multiplexed data line encode and CNC s

5、ervo systems,on the basis of research results at home and abroad.This paper focuses on the serial bus interface between the multiplexed data line encode and CNC servo systems,on the basis of research results at home and abroad.We use the FPGA chip of Altera Corporation and optical encoder interface

6、technology to designthe multiplexed data line encodeserial bus interface device. The device consists ofhardware and software.Hardware consists of the encoder input interface module, FPGA module, encoder power control module and output module.Software mainly consists of the following parts:fourfold-f

7、requency direction-judgement module,encoder power control module and Endat2.2 protocol module. After welding the circuit board,programming,debugging and downloading the software,the device can accurately read theoutput positionsignal of the multiplexed data line encode,and realize the functions of f

8、our frequency, identifying the direction, counting, and Endat2.2 protocol outputingwith the FPGA chip.The encoder signals are also fed to the AC servo control system successfully by the device,it constitutes a complete position and velocity feedback loop of control system.Key words:AC servo system,m

9、ultiplexed data line encode,FPGA,Endat2.2 protocol目录摘要IABSTRACTII1 绪论11.1 课题研究背景和意义11.2 数控系统简介11.2.1 数控系统的组成21.2.2 数控系统的发展趋势31.3 伺服驱动系统简介51.3.1 交流伺服系统组成51.3.2 伺服驱动系统的发展趋势61.4 本论文主要容72 编码器与其接口技术82.1 光电编码器82.1.1 增量式光电编码器92.1.2 绝对式光电编码器102.1.3 省线式编码器112.2 编码器信号的细分技术112.3 编码器接口技术123 省线式编码器串行总线接口装置硬件设计14

10、3.1 硬件总体结构143.2 主要电路模块设计143.2.1 编码器接口电路设计143.2.2 FPGA模块电路设计153.2.3 编码器上电控制模块设计183.2.4输出接口电路设计184 省线式编码器串行总线接口装置软件设计204.1 系统软件编程环境介绍204.1.1 Quartus编程环境介绍204.1.2 Quartus编程环境的设计流程214.1.3 硬件描述语言简介224.2 软件总体框图224.3 软件主要模块设计234.3.1 FPGA软件顶层图234.3.2 四倍频辨向模块设计234.3.3 上电控制模块设计254.3.4 Endat2.2协议模块设计275 实验结果31

11、5.1 装置实物图315.2 测试结果326 总结与研究展望356.1 全文总结356.2 研究展望35参考文献37致381 绪论1.1 课题研究背景和意义自上世纪七十年代以来,随着电力电子技术、微电子技术、传感器技术、电机技术以与控制理论等技术的飞速发展,以交流伺服电机为控制对象的交流伺服系统逐渐取代直流伺服系统,在数控机床、机器人、大规模集成电子制造和军用武器随动系统等方面得到广泛应用。近十多年来,由于永磁材料与相关技术的重大突破,永磁同步电机性能得到了迅速提高,其良好的低速运行性能和较高的性价比等优点使得三相永磁同步电机逐渐成为交流伺服系统执行电机的首选。随着高性能微处理器在电机调速系统

12、的广泛应用,使得交流伺服系统由模拟、模数混合方式向全数字方式发展。数控技术与装备是发展新兴高新技术产业和尖端工业的使能技术和最基本的装备。世界各国信息产业、航空、航天等国防工业广泛采用数控技术,以提高制造能力和水平。工业发达国家还将数控技术与数控装备列为国家的战略物资,不仅大力发展自己的数控技术与其产业,而且在高精尖数控关键技术和装备方面对我国实行封锁和限制政策,严重制约了我国高档数控机床产业的发展。因此,数控系统产业是关系到国家经济安全、产业安全和国防安全的战略性产业。我国数控产业经历了“十五”、“十一五”产业化攻关,已取得了重要的阶段性成果。但是我国高档数控机床的技术水平、整体质量与发达国

13、家相比还有较大差距1。本课题是来源于华中科技大学国家数控系统工程技术研究中心自主开发的课题:全数字交流伺服电机驱动系统研制。该伺服驱动系统主要由DSP和FPGA组成的运动控制器、智能化功率模块(IPM)、光纤总线接口、编码盘反馈接口等组成。运动控制器将光纤总线接口接收数控单元发送位置运动控制指令和码盘反馈位置信息进行处理,最后通过IPM模块驱动伺服电机。本课题的主要任务是开发全数字交流伺服电机驱动装置控制接口模块中省线式编码器接口模块,该接口模块完成对省线式编码器信息的读取,并通过FPGA进行细分、计数、鉴向,最后将结果以目前使用较普遍的编码器数据接口协议EnDat传送出去,供上位机进行控制。

14、1.2 数控系统简介自1952年第一台数控机床问世到如今六十年的历史中,以电子信息技术为基础,集传统的机械制造技术、计算机技术、成组技术与现代控制技术、传感检测技术、信息处理技术、网络通信技术、液压气动技术、光机电技术于一体的数控技术得到了迅速发展和广泛应用,使得普通的机械逐渐被高效率、高精度的数控机械所代替,从而形成了巨大的生产力,促进制造业发生了根本性的变化。 数控机床不但是机械工业中的重要基础装备,也是汽车、电子、航空、国防等支柱产业生产现代化的主要手段。数控机床产业本身的产值远不如汽车、航空、航天等产业,但高效能的数控机床给制造业带来了高倍率的效益增长和现代化的生产方式,是促进国民经济

15、发展的巨大原动力。1.2.1 数控系统的组成数控系统是数字控制系统的简称,它根据计算机存储器中存储的控制程序,执行部分或全部数值控制功能,并配有接口电路和伺服驱动装置的专用计算机系统。通过利用数字、文字和符号组成的数字指令来实现一台或多台机械设备动作控制,它所控制的通常是位置、角度、速度等机械量和开关量。一般整个数控系统由三大部分组成,即控制系统,伺服系统和位置测量系统。控制系统按加工工件程序进行插补运算,发出控制指令到伺服驱动系统;伺服驱动系统将控制指令放大,由伺服电机驱动机械按要求运动;测量系统检测机械的运动位置或速度,并反馈到控制系统,来修正控制指令。这三部分有机结合,组成完整的闭环控制

16、的数控系统。在数控机床上加工一个零件的过程如下:首先编程人员按照零件的几何形状和工艺要求将加工过程编成零件加工程序。数控装置读入记录在介质上的加工程序后,将其翻译成机器能够理解的控制指令,再由伺服系统将其变换和放大后驱动机床上的主轴电机和进给伺服电机转动,进而带动机床的工作台移动,实现加工程序。1.1数控系统的组成数控系统是数控机床的指挥中心,它主要由操作面板、输入/输出设备、数控装置、伺服单元和驱动装置、PLC和机床I/O电路等部分组成2,如图1.1。操作面板 操作面板是操作人员与数控机床进行交互的工具。一方面,操作人员可以通过它对数控机床进行操作、编程、调试或对机床参数进行设定和修改;另一

17、方面,操作人员也可以通过它了解或查询数控机床的运行状态。输入/输出设备存储介质是记录零件加工程序的媒介。输入/输出设备是CNC系统与外部设备进行信息交互的装置,它们的作用是讲编制好的零件加工程序输入数控系统。CNC装置CNC装置是数控系统的核心,它主要由计算机系统、位置控制板、PLC接口板、通信接口板、扩展功能模块与相应的控制软件等模块组成。其主要作用是根据输入的零件加工程序或操作者命令进行相应的处理(如运动控制处理、机床输入/输出等),然后输出控制命令道相应的执行部件(如伺服单元、驱动装置和可编程控制器PLC等),完成零件的加工程序或操作者命令所要求的工作。伺服单元、驱动装置和测量装置伺服单

18、元和驱动装置包括主轴伺服驱动装置和主轴电机、进给伺服驱动装置和进给电机;测量装置指位置速度测量装置,它是实现速度闭环控制和位置闭环控制的必要装置。主轴伺服系统的主要作用是实现零件加工的切削运动,其控制量为速度。进给伺服系统的主要作用是实现零件加工的成形运动,其控制量为速度和位置。能灵敏、准确地跟踪CNC装置的位置和速度指令是它们的共同特征。PLC、机床I/O电路和装置PLC用于实现与逻辑运算、顺序动作有关的I/O控制,它由硬件和软件组成;机床I/O电路和装置是用于实现I/O控制的执行部件(由继电器、电磁阀、形成开关、接触器等组成的逻辑电路)。1.2.2 数控系统的发展趋势数控技术是工业自动化的

19、基础,数控系统是数控机床的灵魂。数控系统的性能和水平直接决定着数控机床的性能和水平。数控系统主要有以下几个发展方向:开放式数控系统开放式数控系统的提出是数控系统发展到一定阶段的必然产物。面对市场全球化导致的激烈竞争,制造业行业迫切需要在产品多样化和产品跟新换代频率的情况下提高生产效率和产品质量、降低产品成本,同时更人性化地满足用户的需求。由此,对适合中小批量加工、具有良好柔性和多功能型制造系统的需求逐步超过了对大型单一功能的制造系统的需求,正是这一变化促使人们展开了对模块化、可重构、可扩充、可升级的新一代数控系统的研究。数控系统的开发性应体现为系统对不同软、硬件平台的可移植性、系统功能的可伸缩

20、性、系统功能模块的可替代性和功能模块间的互操作性,这表明开放式的数控系统应构筑于一个开放的平台之上,并具有模块化的组织结构,允许用户对功能模块进行选配、更改和扩展以迅速满足不同的应用需求,且给功能模块可来源于不同的供应商并相互兼容。数控系统的网络化数控系统的网络化主要是指数控系统与外部的其他控制系统或上位计算机进行网络连接和网络控制。网络数控就是把数控系统网络化,通过Internet/Intranet技术将制造单元和控制部件相连,以实现网络制造和资源共享为目的,支持各种先进制造环境。网络化包括两个方面:部网络化(现场总线网络)和外部网络化。部网络化是指为使数控系统硬件具有互换性,数控系统CNC

21、单元与伺服驱动与I/O等单元以现场总线网络连接。外部网络化是数控系统与其他控制系统或外部上位计算机以网络连接。其作用有:实现对设备的远程控制、加工程序的传输、远程诊断和维修服务、技术服务等。网络数控作为全球制造的基础,已从通信向生产管理转移,注重和企业资源计划、物料需求计划等管理系统的集成。一个完善的网络数控系统是集加工制造、生产管理、工艺设计、设备调度和网络控制为一体的,具有开放式体系结构的集成化制造控制和生产管理系统。数控系统的智能化智能化是制造技术发展的一个大方向。当前数控系统所需要的功能不仅是高性能而且还有许多智能化技术。智能化数控系统研究的目的是使数控系统能充分感知机床所处的工作环境

22、并作出符合工况的优化决策,使机床在智能控制器的指挥下,即使环境不可预知,甚至信息不完整、不确切仍能正常工作。在数控技术领域,随着人工智能在计算机领域的渗透和发展,数控系统引入了自适应控制、模糊系统、和神经网络的控制机理,其不但有自动编程、前馈控制、模糊控制、学习控制、自适应控制、工艺参数自动生成、三维刀具补偿和运动参数动态补偿等功能,而且人机界面极为友好,并具有故障诊断专家系统,使得自诊断和故障监控功能更趋完善。数控系统的集成化和模块化采用高度集成化CPU、RISC芯片和大规模可编程集成电路与专用集成电路ASIC,可提高数控装置的集成度和软硬件运行速度。当前数控技术的集成化措施主要有:使用更新

23、的IC器件、数控装置进行高密度立体安装,以减少占用空间和提高可靠性;使用光缆传递信号,减少铜缆;采用无缆连接,进一步减少数控装置连接电缆数目。采用硬件模块化技术易于实现数控装置的集成化和标准化。据不同的功能需求,将基本模块做成标准的系列化产品,通过积木方式进行功能裁剪和模块数量的增减,构成不同档次的数控系统。1.3 伺服驱动系统简介“伺服(servo)” 一词源于希腊语“奴隶(slave)”3。伺服系统,亦称随动系统,是一种能够跟踪输入的指令信号进行动作,从而获得了精确的位置、速度等输出的自动控制系统。伺服系统的主要任务就是按照控制命令的要求,对信号进行变换、调控和功率放大等处理,使驱动装置的

24、输出的力矩、速度与位置都能得到灵活控制。它是数控装置和机床机械传动部件的连接环节,是数控机床的重要组成部分,其性能直接影响整个数控机床的精度、速度和可靠性等技术指标。而数控机床的最高运动速度、跟踪与定位精度、加工表面质量、生产率与工作可靠性等技术指标,往往又主要取决于伺服系统的动态和静态性能。数控机床的故障也主要出现在伺服系统上。可见提供伺服系统的技术性能和可靠性,对数控机床具有重大意义,研究与开发高性能的伺服系统一直是现代数控机床的关键技术之一,是提供数控机床的加工精度、表面质量和生产效率的重要途径。数控机床的伺服驱动系统按有无位置检测反馈装置分为开环进给伺服驱动系统、半闭环进给伺服驱动系统

25、和闭环进给伺服驱动系统;按驱动电机的类型可分为步进电机进给伺服驱动系统、直流电机进给伺服驱动系统、交流进给伺服驱动系统和直线电机进给伺服驱动系统。1.3.1 交流伺服系统组成图1.2交流伺服系统组成交流伺服系统组成如图1.2所示:除交流伺服电机外,系统主要由编码器、电流反馈单元、功率驱动单元、位置速度转矩电流控制单元组成。4控制单元控制单元是整个交流伺服控制系统的核心,包含了系统位置控制器、速度控制器、电流和转矩控制器。控制单元主要由数字信号处理器(DSP)和大规模现场可编程门阵列(FPGA)组成。功率驱动单元智能功率模块(IPM),不仅把功率开关器件和驱动电路集成在一起。而且还藏有过电压,过

26、电流和过热等故障检测电路,并可将检测信号送到CPU。它由高速低功耗的管芯和优化的门极驱动电路以与快速保护电路构成。即使发生负载事故或使用不当,也可以保证IPM自身不受损坏。IPM一般使用IGBT作为功率开关元件,藏电流传感器与驱动电路的集成结构。IPM以其高可靠性,尤其适合于驱动电机的变频器和各种逆变电源,是变频调速,冶金机械,电力牵引,伺服驱动,变频家电的一种非常理想的电力电子器件。位置反馈单元位置反馈装置时交流伺服系统关键组成部件,其直接关系到系统精度、灵敏度,静态与动态特性。目前常用位置传感器主要有旋转变压器,感应同步器、光电编码器、磁性编码器等元件。电流反馈单元电机电流直接反应出控制性

27、能的好坏。系统的信号处理部分一般都是低压电路,所以要求电流传感器具有很强的隔离性。另外要求电流传感器具有高精度、灵敏度与良好静态与动态特性。常采用是霍尔电流传感器,其利用霍尔效应制成检查电流装置,能够测量各种波形的交直流电流,且输出电位是与系统相隔离。1.3.2 伺服驱动系统的发展趋势伴随着伺服系统动态特性与静态特性的提高,近年来发展了多种伺服驱动技术。可以预见,随着超高速切削、超精密加工、网络制造等先进制造技术的发展,具有网络接口的全数字伺服驱动系统、直线伺服驱动系统已经告诉电主轴等将成为数控机床行业的热点,并成为伺服系统的发展方向。全数字伺服驱动系统所谓全数字伺服驱动系统是指将伺服驱动系统

28、中的控制信息用数字量来处理。随着数字信号微处理器速度的大幅度提高,伺服驱动系统的信息处理课完全用软件来完成,这就是当前所说的“数字伺服”。伺服驱动系统全数字化主要包括伺服驱动系统部控制的数字化,伺服驱动系统到数控装置接口的数字化和测量单元的数字化4。伺服驱动系统所有的控制运算都是有部的数字信号处理器(DSP)完成的。DSP是一种独特的微处理器,是以数字信号来处理大量信息的器件。伺服驱动系统部的三环控制在部高速DSP的控制下,能充分实现伺服环路高响应、高性能、高可靠性和高速实时控制的要求。采用现场总线的数字化控制接口是全数字伺服驱动装置实现高速、高精控制的必要条件。全数字伺服驱动系统可以采用以下

29、新技术,通过计算机软件实现最优控制,达到同时满足高速度和高精度的要求。前馈控制:引入前馈控制,实际上构成了具有反馈和前馈复合控制的系统结构;预测控制:它通过预测机床伺服驱动系统的传递函数来调节输入控制量,以产生复合要求的输出;学习控制或重复控制:这种控制方法适合于周期性重复操作控制指令情况的加工,可以获得高速、高精度的效果。561.4 本论文主要容本论文围绕了全数字交流伺服系统,在吸收和借鉴国外研究成果的基础上,深入研究省线式编码器接口技术,结合Altera FPGA芯片,设计了省式编码器串行总线接口系统的硬件和软件,实现了对编码器的通断电控制和对电机初始角度信息和电机增量位置信息的正确读取,

30、并完成了对读取信息的处理以级按照编码器串行总线Endat2.2协议输出的功能。全文安排如下:第一章绪论阐明了本课题研究背景和意义,并对数控系统、交流伺服驱动系统的组成和发展趋势做了介绍。第二章论述了详细介绍了光电编码器原理以与省线式编码器的特点和作用。最后介绍了码盘接口技术和德国海德汉Endat协议。第三章介绍了省线式编码器串行总线接口装置的硬件设计,介绍了该装置设计的主要思路和硬件总体结构,详细论述了几个主要模块的原理。第四章介绍了省线式编码器串行总线接口装置的软件设计,主要是各个模块的设计思路和部分代码,以与仿真结果。第五章对省线式编码器串行总线接口装置进行了实验测试和验证,给出了相关的测

31、试结果。2 编码器与其接口技术位置检测装置有检测元件(传感器)和信号处理装置组成,用于检测运动部件的直线线位移或角位移并将其转变为电信号,在反馈到位置控制调节器,以实现闭环或半闭环控制,使机床运动部件能跟随数控装置的运动指令信号精确移动。闭环和半闭环进给伺服系统的控制精度依赖于位置检测装置。数控机床对位置检测装置的主要要求有:可靠性高、抗干扰能力强;检测精度高、静态和动态响应速度快;使用、维护方便,适应数控机床的运行环境,成本底等。表2.1 位置检测装置的分类6类型数字式模拟式增量式绝对式增量式绝对式回转型增量式脉冲编码器圆光栅绝对式脉冲编码器旋转编码器圆感应同步器圆磁尺多极旋转变压器三速圆感

32、应同步器直线型计量光栅激光干涉仪多通道透射光栅直线感应编码器光栅尺三速直线感应同步器绝对式磁栅尺数控机床的位置检测装置类型很多如表2.1所示,按检测信号的类型可分为数字式和模拟式两种;按检测量的基准可分为增量式和绝对式两种;按测量值的性质可分为直接测量式和间接测量式。对于不同类型的数控机床,因工作条件和检测要求不同,应采用不同的检测方式。2.1 光电编码器目前常用位置传感器主要有旋转变压器,感应同步器、光电编码器、磁性编码器等元件。旋转变压器,感应同步器是基于电磁感应原理的模拟式位置传感器 它们的优点是可靠性和精度都比较好。光电编码器是一种集光、机、电为一体的数字化检测装置,它具有分辨率高、精

33、度高、结构简单、体积小、使用可靠、易于维护、性价比高等优点,直接输出数字式电脉冲信号。和光电编码器一样,磁性编码器也是由位移量变换成数字式脉冲信号的传感器,近年来发展相当迅速,已有磁敏电阻式、励磁磁环式、霍尔元件式等多种类型。和光电编码器相比磁性编码器的突出优点是:适应环境能力强、不怕灰尘、油污和水雾,结构简单,坚固耐用,响应速度快,寿命长;不足之处是很难做出高分辨率的产品。光电编码器是现代数字式伺服系统主要采用的位置传感器,本课题采用位置传感器是光电编码器。光电编码器,是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器, 主要用于速度或位置(角度)的检测。光电编码器由光栅

34、码盘、检测光栅、光电转换电路(包括光源、光敏器件、信号转换电路)、机械部件等组成7。一般来说,根据光电编码器产生脉冲的方式不同,可以分为增量式、绝对式以与复合式三大类。按编码器运动部件的运动方式来分,可以分为旋转式和直线式两种。由于直线式运动可以借助机械连接转变为旋转式运动,反之亦然。因此,只有在那些结构形式和运动方式都有利于使用直线式光电编码器的场合才予使用。旋转式光电编码器容易做成全封闭型式,易于实现小型化,传感长度较长,具有较长的环境适用能力,因而在实际工业生产中得到广泛的应用,在本文中主要针对旋转式光电编码器。2.1.1 增量式光电编码器图2.2 增量式光电编码器输出信号波形图2.1增

35、量式光电编码器的组成增量式光电编码器主要由光源、码盘、检测光栅、光电检测器件和转换电路组成,如图2.1所示。码盘上刻有节距相等的辐射状透光缝隙,相邻两个透光缝隙之间代表一个增量周期;检测光栅上刻有A、B 两组与码盘相对应的透光缝隙,用以通过或阻挡光源和光电检测器件之间的光线。它们的节距和码盘上的节距相等,并且两组透光缝隙错开1/4 节距,使得光电检测器件输出的信号在相位上相差90度电度角。当码盘随着被测转轴转动时,检测光栅不动,光线透过码盘和检测光栅上的透过缝隙照射到光电检测器件上,光电检测器件就输出两组相位相差90电度角的近似于正弦波的电信号,电信号经过转换电路的信号处理,可以得到被测轴的转

36、角或速度信息。增量式光电编码器输出信号波形如图2.2 所示。增量式光电编码器的特点是每产生一个输出脉冲信号就对应于一个增量位移,但是不能通过输出脉冲区别出在哪个位置上的增量。它能够产生与位移增量等值的脉冲信号,其作用是提供一种对连续位移量离散化或增量化以与位移变化(速度)的传感方法,它是相对于某个基准点的相对位置增量,不能够直接检测出轴的绝对位置信息。一般来说,增量式光电编码器输出A、B 两相互差90电度角的脉冲信号(即所谓的两组正交输出信号),从而可方便地判断出旋转方向。同时还有用作参考零位的Z 相标志(指示)脉冲信号,码盘每旋转一周,只发出一个标志信号。标志脉冲通常用来指示机械位置或对积累

37、量清零。增量式光电编码器的优点是:原理构造简单、易于实现;机械平均寿命长,可达到几万小时以上;分辨率高;抗干扰能力较强,信号传输距离较长,可靠性较高。其缺点是它无法直接读出转动轴的绝对位置信息8。2.1.2 绝对式光电编码器绝对式光电编码器的基本原理与组成部件与增量式光电编码器基本一样,也是由光源、码盘、检测光栅、光电检测器件和转换电路组成,如图2.3所示。图2.3 绝对式光电编码器组成与增量式光电编码器不同的是,绝对式光电编码器用不同的数码来分别指示每个不同的增量位置,它是一种直接输出数字量的传感器。在它的圆形码盘上沿径向有若干同心码道,每条上由透光和不透光的扇形区相间组成,相邻码道的扇区数

38、目是双倍关系,码盘上的码道数就是它的二进制数码的位数,在码盘的一侧是光源,另一侧对应每一码道有一光敏元件;当码盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。这种编码器的特点是不要计数器,在转轴的任意位置都可读出一个固定的与位置相对应的数字码。显然,码道越多,分辨率就越高,对于一个具有 N 位二进制分辨率的编码器,其码盘必须有N 条码道。 绝对式光电编码器是利用自然二进制、循环二进制(格雷码)、二-十进制等方式进行光电转换的。绝对式光电编码器与增量式光电编码器不同之处在于圆盘上透光、不透光的线条图形,绝对光电编码器可有若干编码,根据读出码盘上的编码,检测绝对位置。

39、它的特点是:可以直接读出角度坐标的绝对值;没有累积误差;电源切除后位置信息不会丢失;编码器的精度取决于位数;但是绝对式光电编码器体积、重量都较大,并且造价昂贵。2.1.3 省线式编码器省线式编码器是增量式光电编码器中的一种。一般伺服电机的增量式编码器共有六路信号线(U、V、W、A、B、Z),U、V、W是互差120度的电机换相信号,它们各自的每转周期数与电机转子的磁极对数一致。为了达到矢量控制的目的,使交流伺服电机定子绕组产生的电磁场始终正交于转子永磁场,获得最佳的出力效果,因此伺服电机上电时需要读取电机的相位信息U、V、W,用于确定伺服电机磁性,在读取了U、V、W信号后,可使用A、B、Z信号对

40、伺服电机进行控制。所以,所谓省线式编码器就是在上电时输出U、V、W信号后,经过一定的时间再切换输出A、B、Z信号(信号线复合使用)。采用省线式输出方式,使编码器的信号输出线减少一半,方便了用户的接线,这样可以简化结构、节约成本。本设计使用的是华大新型电机科技公司的80ST-M01330LF1B伺服电机中的省线式编码器。2.2 编码器信号的细分技术随着数控机床对角度和长度进行高精度检测的需要,人们对光电编码器的分辨率和精度,提出了越来越高的要求。目前国所用的光栅的角节距大多在20以上,而测角系统的分辨率往往要求达到01甚至0O1。因而单纯靠机械制造上刻划更细的光栅来提高光电轴角编码器的分辨率是很

41、难在实现的。主要的原因有:第一,受到加工工艺的限制,光栅栅距不可能无限制的缩小。第二,受到光源波长所规定的物理限制。因此,必须采用莫尔条纹细分技术来提高光栅系统的精度和分辨率9。莫尔条纹的细分方法分为光学细分、机械细分和电子学细分三大类。其中电子学细分方法具有读数快,易于实现测量和数据处理过程的自动化,并能用于动态测量等优点,因而得到了广泛的应用。电子细分方法又可分为鉴幅细分和鉴相细分两种。鉴幅细分又分为矢量运算细分、倍频关系细分、串联移相电阻链细分和幅度分割细分等。鉴相细分是通过信号的相位差来模拟光栅系统的位移,然后对信号的相位差进行细分的2.3 编码器接口技术 编码器与电机或控制系统的信号

42、传输可以采用并行或串行两种方式。信号采用并行传输方式时,每位数据需要一根数据线。因此,并行传输仅适用于短距离传输和特殊要求的场合。采用串行传输时,所有的数据信息通过编码方式、利用一根数据线实现数据传送。串行传输方式数据线少、成本低、传输距离远、数据安全可靠,适用于远距离和高精密传输的场合。串行传输又分为单工通信、半双工通信和全双工通信,以与同步串行通信和异步串行通信。增量式编码器的数据传输多以并行传输为主,而绝对式编码器的数据输出多以同步串行传输方式为主。高档数控机床要求位置检测装置具有很高的检测精度和分辨率,并实现绝对式位置检测。绝对式编码器利用大规模现场课编程门阵列(FPGA)作为协议解释

43、与通信控制电路,通过改变通信的核心模块程序,可实现不同的通信协议。为了实现上位控制机对不同编码器的兼容,本设计使用FPGA将增量式编码器输出的增量信息处理后,利用Endat2.2协议输出绝对信息。常用的编码器串行总线通信协议主要有德国HEIDENHAIN的Endat协议、德国IC-Haus公司提出的BiSS协议、日本多摩川串行通信协议、SSI(简单传感器接口)协议以与德国SICK-STEGMANN的HIPERFACE协议等。本设计采用Endat2.2协议传输,下面就Endat2.2协议做详细介绍。图2.4 海德汉公司的EnDat数据接口示意图海德汉公司的EnDat数据通信协议是一种全双工的同步

44、串行通信协议。它不仅能为增量式和绝对式编码器传感器传输位置值,同时还能够传输附加信息值或更新存储在编码器中的信息,或保存新的信息,具有效率高、速度快(时钟频率现已提高到16MHz)。由于采用串行数据传输方式,它只需要四条信号线,即图2.4中所示,、四条信号线10。Endat接口具有高性能低成本的特点,它是一个通用的接口,适用于所用的增量和绝对式编码器,具有更经济的电能消耗、小的尺寸和紧凑的连接方式,快速系统配置,零点可根据偏置值浮动。它还具有更好的信号质量和更好的实用性10。3 省线式编码器串行总线接口装置硬件设计本设计的主要任务就是完成对省线式编码器的上电控制,以便正确读取电机初始角度UVW

45、信息和位置增量信息ABZ,并将读取的信息进行处理后按照绝对式编码器协议Endat2.2格式打包输出。3.1 硬件总体结构硬件主要包括以下模块:编码器接口模块、FPGA芯片模块、电源模块、编码器上电控制模块、输出接口模块。总体结构如图3.1。3.1 硬件总体结构示意图3.2 主要电路模块设计3.2.1 编码器接口电路设计为了提高抗干扰能力,编码器输入信号为差分信号。该模块主要是将编码器输入的差分信号由差分信号接收芯片转换为非差分信号,然后输入FPGA进行处理。为了保护电路,编码器输入信号先经保护芯片NUP430后再输入差分信号接收芯片AM26LV32。AM26LV32是一种低电压高速四路差动线路

46、接收器,该芯片具有高输入阻抗和输出滞后性,能够提高电路的抗干扰能力,满足设计要求17。差分输入信号。当;当,当;当输入为开路时,输出为高电平。电路原理图如图3.2。图3.2 编码器接口电路原理图3.2.2 FPGA模块电路设计FPGA芯片选型各种可编程芯片的出现,改变了嵌入式硬件模块的设计方法,出现了“可重构计算”的概念。而在这些芯片中,现场可编程门阵列FPGA(FieldProgrammable Gate Array)以其性好、体积小、重量轻、可靠性高等一系列的优点,成为人们设计嵌入式电路的首选。FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(

47、ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA 的产品主要由 Xilinx、Lattice 和 Altera 等公司提供。与其它公司产品相比,Altera 公司的产品性价比高,开发工具更容易获得。以硬件描述语言(Verilog hdl或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑

48、的元件里也包含记忆元件例如触发器或者其他更加完整的记忆块Altera推出的CycloneII 是Cyclone系列低成本FPGA中的最新产品。Altera于2002年推出的Cyclone器件系列改变了整个FPGA行业,带给市场第一以最低成本为基础而设计的FPGA系列产品。Altera采用一样的方法在尽可能小的裸片面积下构建了Cyclone II 系列。Cyclone II 系列提供了与其上一代产品一样的优势一套用户定义的功能、业界领先的性能、低功耗但具有更多的密度和功能,极降低了成本。Cyclone II 器件扩展了低成本FPGA的密度,最多达68,416个逻辑单元(LE)和1.1M比特的嵌入

49、式存储器。Cyclone II器件的制造基于300mm晶圆,采用台积电90nm、低K值电介质工艺,这种可靠工艺也曾被用于Altera的Stratix II器件。这种工艺技术确保了快速有效性和低成本。通过使硅片面积最小化,Cyclone II器件可以在单芯片上支持复杂的数字系统,而在成本上则可以和ASIC竞争11。本设计中选择EP2C5T144C8N做为设计芯片,它属于Cyclone II系列,具有4608个逻辑单元,119808位RAM,两个锁相环和144个引脚。它无论是在资源、功能还是价格方面都能满足设计要求11。 JTAG配置接口JTAG(Joint Test Action Group)接

50、口用来对目标器件进行测试或者编程,标准的JTAG接口是4线:TMS、TCK、TDI、TDO,分别为模式选择、时钟、数据输入和数据输出线。TCK为测试时钟输入,数据通过TDI/TDO引脚输入/输出JTAG接口,TMS设置JTAG接口处于某种特定的测试模式。图3.3 为JTAG配置接口电路。图3.3 JTAG配置接口通过JTAG配置接口,在Quartus II软件使用SignalTap II逻辑分析仪和SignalProbe功能可以分析部器件节点和I/O引脚,实现系统调试。AS配置接口 AS配置(Active Serial Configuration)接口使用Altera串行配置器件来完成AS配置

51、器件。配置时,Cyclone II芯片处于主动地位,而配置器件处于从属地位。配置数据通过DATA0引脚传入FPGA芯片,配置数据被同步于DCLK输入上,1个时钟周期传输1位数据。EPCS4SI8配置芯片具有512KB的存。本文设计AS配置电路如图3.4所示。图3.4 AS配置接口电源模块外部提供+5V的直流电源,而FPGA芯片需求+3.3V和+1.2V的直流电源。因此,需要将外部电源转换为+3.3V和+1.2V的直流电源。本设计采用AP1510进行电源转换。AP1510提供低纹波电源,具有效率高、体积小、输出宽、瞬态特性好等特点。电路如图3.5所示。输出电压,为反馈电压,典型值为0.8V。改变

52、的值就可以改变输出电压。有输出电压计算公式可知,当分别为6.2K、2K和1K、2K时,输出电压即为+3.3V和+1.2V。R38、R41为限流电压。图3.5 电源模块原理图3.2.3 编码器上电控制模块设计省线式编码器在刚上电时输出UVW信号,然后输出ABZ信号。为了准确读取图3.6 编码器上电控制电路编码器的UVW信号,需要在FPGA芯片准备好以后才对编码器通电。本设计采用三极管的开关作用对编码器的通断电进行控制。电路如图3.6所示。由图可知,负载电阻被直接跨接于三极管的集电极与电源之间,而位于三极管主电流的回路上,输入控制电压控制三极管开关的开启与闭合动作,当三极管呈开启状态时,负载电流便

53、被阻断。反之,当三极管呈闭合状态时,电流便可以流通。详细地说,当控制电压为低电压时,由于基极没有电流,因此集电极亦无电流,致使连接于集电极端的负载亦没有电流,而相当于开关的开启,此时三极管工作于截止区。同理,当控制电压为高电压时,由于有基极电流流动,因此使集电极流过更大的放大电流,因此负载回路便被导通,而相当于开关的闭合,此时三极管工作于于饱和区。3.2.4输出接口电路设计该模块主要完成Endat协议的发送功能。Endat协议采用差分输出,因此,本设计采用SP3485芯片完成数据的发送和同步时钟的接受。SP3485芯片是一个半双工收发器,它完全满足RS-485和RS-422串行接口的要求,数据

54、传输速率可高达10Mbps。电路如图3.7所示,DE引脚是发送器输出使能(高电平有效),D引脚是发送器输入,引脚是接受器输出使能(低电平有效),R引脚是接收器输出,A、引脚表示差分输入/输出。CLK_DEN/CLK_REN和DATA_DEN/DATA_REN信号为FPGA输出的收发控制信号。芯片发送接受功能真值表如表3.1所示。表3.1 发送和接受功能真值表图3.7 输出接口电路4 省线式编码器串行总线接口装置软件设计图4.1 QuartusII 9.0集成开发环境界面4.1 系统软件编程环境介绍本文采用FPGA是Altera公司Cyclone II芯片。Quartus 9.0 是Altera

55、公司推出的FPGA集成开发软件,如图4.1所示。下面介绍Quartus编程软件与开发流程以与编程语言。4.1.1 Quartus编程环境介绍Quartus软件包Altera 公司的CPLD/FPGA 综合开发工具软件,其提供了一个完整高效的设计环境,非常适应具体的设计需要。Quartus提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。Quartus 提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括可利用原理图、结构框图、VerilogHDL、AHDL 和VHDL 完成电路描述,并

56、将其保存为设计实体文件。4.1.2 Quartus编程环境的设计流程图4.2 Quartus 设计流程11Quartus 设计流程如图4.2所示。Quartus 软件为设计流程的每个阶段提供Quartus 图形用户界面、EDA 工具界面以与命令行界面。可以在整个流程中只使用这些界面中的一个,也可以在设计流程的不同阶段使用不同界面。设计输入Quartus 支持多种设计输入方法。Quartus 本身具有的编辑器支持原理图式图形设计输入,文本编辑输入(如AHDL、VHDL、Verilog)和存编辑输入(如Hex、Mif)。第三方EDA工具编辑的标准格式文件,如 EDIF、HDL、VQM。也可以采用一

57、些别的方法优化和提高输入的灵活性,如混合设计格式,利用LPM和宏功能模块来加速设计输入。综合将HDL语言、原理图等设计输入翻译成由与、或、非门,ram,触发器等基本逻辑单元组成的逻辑连接,这种逻辑连接又叫网表;同时Quartus 也支持第三方EDA综合工具。布局布线将综合得到的网表在具体的FPGA器件上实现;Quartus 中的布局布线包括 分析布局布线、优化布局布线、增量布局布线时序分析允许用户分析设计中所有逻辑的时序性能,并协助引导布局布线满足设计中的时序要求;时序分析的容包括:建立时间、保持时间、时钟至输出延时、最大时钟频率等; Quartus 的时序收敛工具包括Timing closu

58、re floorplan logiclock editor。仿真包括功能仿真(综合与布局布线前仿真)和时序仿真(综合与布局布线后仿真)。功能仿真主要是验证电路功能是否符合设计要求,仅需要电路描述用的HDL和电路测试用的HDL;时序仿真包含了器件的延时信息,是模拟实际芯片运行时的输出波形。 除Quartus 自带的仿真工具以外,常见工具还有ModelSim 等。编程和配置在使用Quartus 软件成功编译工程之后,就可以对Altera器件进行编程或配置。4.1.3 硬件描述语言简介随着EDA技术的发展,使用硬件语言设计PLD/FPGA成为一种趋势。目前主要硬件描述语言是VHDL和Verilog

59、HDL。 Verilog HDL是在C语言的基础上发展起来硬件描述语言,语法较自由。VHDL诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证12。本文主要采用VHDL编写程序。图4.3 软件总体框图4.2 软件总体框图4.3 软件主要模块设计4.3.1 FPGA软件顶层图图4.4 顶层原理图编码器输入信号A、B经过四倍频辨向后进入计数模块,信号Z直接进入计数模块,并且

60、对A、B计数模块进行清零,消除累计误差。上电控制模块的主要作用就是控制编码器的通断电和UVW信号寄存使能以与单圈、多圈技术使能。Endat发送模块接受A、B、Z信号的计数结果和UVW的值,按照模式指令发送数据。如图4.4所示。4.3.2 四倍频辨向模块设计为了提高测量分辨率,对编码器输出信号进行细分是必要环节。在实际应用中,通常采用四倍频的方法提高精度。能够实现四倍频的电路结构很多,但在应用中发现,由于某些四倍频电路的精度或稳定性不高,使传感器整体性能下降。本设计将四倍频电路和辨向电路设计为一个整体,称为四倍频与辨向电路。增量式编码器实际上是一种旋转式角位移检测装置,它根据轴所转过的角度,输出

61、一系列脉冲,能将机械转角变换成电脉冲,其输出波形如图4.5所示。A、B两相信号是相位相差90的正交方波脉冲,每个脉冲代表被测对象旋转了一定的角度,A、B之间的相位关系则反映了被测对象的旋转方向,即当A相超前B相时,转动方向为正转;当B相超前A相时,转动方向为反转。Z信号是代表零位脉冲信号,可用于调零、对位。图4.5 编码器输出信号13图4.6 四倍频与辨向原理图四倍频与辨向模块逻辑电路如图4.6所示,cp为晶振产生的全局时钟八分频,7474是带置位和复位的正触发的双D触发器,74153是双4选1数据选择器14。A、B信号分别经第一级D触发器后变为A、B信号,再经过第二级D触发器后变为A、B信号

62、。D触发器对信号进行整形,消除了输入信号中的尖脉冲影响,其次是对信号产生一个延迟。在后续倍频电路中不再使用原始信号A、B,因而提高了系统的抗干扰性能。四倍频设计关键在于鉴别出A、B信号的上升沿和下降沿。本设计采用两个D触发器对信号进行延迟后,再对A、B、A、B信号进行逻辑组合(与操作),鉴别出A、B信号的上升沿和下降沿,最后输入74153进行数据选择。74153两路信号输出,当编码器正转时,1Y输出四倍频后的脉冲;当编码器反转时,2Y输出四倍频后的脉冲。仿真时序如图4.7所示, A、B信号每一个上升沿和下降沿,电路都会长身一个窄脉冲。A信号相位提前于B信号90,即电机正转,则1Y输出计数脉冲,

63、2Y上没有输出脉冲。由仿真图可知,电路达到了设计目的。图4.7 四倍频与辨向模块时序仿真图4.3.3 上电控制模块设计 省线式编码器的特点是数据线复用。图4.8为华大电机产品说明书上的省线式编码器上电时序图。由此图可知,省线式编码器在上电500ms左右开始输出UVW信号,UVW持续20秒左右,然后编码器输出ABZ信号。图4.8 省线式编码器上电时序图15 根据省线式编码器的上电时序图,设计上电控制模块。本模块采用VHDL语言编写程序。程序如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity controller isport(clk_1k:in std_logic; rst:in std

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!