EDA实训心得体会

上传人:泽*** 文档编号:67437233 上传时间:2022-03-31 格式:DOC 页数:12 大小:47.50KB
收藏 版权申诉 举报 下载
EDA实训心得体会_第1页
第1页 / 共12页
EDA实训心得体会_第2页
第2页 / 共12页
EDA实训心得体会_第3页
第3页 / 共12页
资源描述:

《EDA实训心得体会》由会员分享,可在线阅读,更多相关《EDA实训心得体会(12页珍藏版)》请在装配图网上搜索。

1、.精品文档 .EDA实训心得体会短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西, 熟悉了对 Quartus 软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了 VHDL 语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实

2、学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。这次 EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创1/11.精品文档 .设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程

3、序,根据错误的地方去修改程序。本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计, 掌握了软件、 CPLD元件的应用,受益匪浅, 非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习

4、上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。通过这次课程设计,我进一步熟悉了 Verilog HDL 语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创2/11.精品文档 .问题 , 到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要

5、学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力 。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应

6、用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程, 并为我们指点迷津, 帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创3/11.精品文档 .大三时候开始了专业课的学习,其中EDA就是要学的一门专业课,课程刚开始的时候,对 EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看

7、到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA 技术 , 由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些 VHDL语言相对于其他编程语言的特点。在接触VHDL语言之前,我已经学习了C 语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL

8、作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单 CPU 的计算机中的运行,永远是单向和一维的。因2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创4/11.精品文档 .而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。在学习的过程中,我深深体会到,学习不单单要将理论

9、知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高, 感觉学到的很没用,我们现在学到的还很少, 只是编写一些简单的程序。 相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去合肥学院电子系09 级电子信息工程, 姓名:李金山学号:0905075006 摘要:经过两周的EDA 实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。我也通过练习,熟练地掌握了一些画图技巧,下面我

10、模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。一、电路原理图及元器件库设计1. 原理图设计电路原理图的设计主要是protel 99 se的原理图设计系统来绘制一张电路原理图。在这一过程中,要充分利用protel99 se 所提供的各种原理图绘图工具、各种编辑功能,2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创5/11.精品文档 .来实现我们的目的,即得到一张正确、精美的电路原理图。绘制简单电路原理图过程: 首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel

11、99se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建网络表;最后,加载网络表。例如如下时钟电路原理图:当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。生成网络表和元器件材料清单。电气规则测试:分析检查报告内容,修改错误。时钟电路网络表2. 元器件库设计在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。我在绘制时钟电路原理图时,也曾遇到过这种情况,所

12、以这就需要我们自己绘制自己所需的元器件图。如:我们可以根据所需建立一个自己的元器件库,当我们需2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创6/11.精品文档 .要时,就可添加进去,直接使用即可。二、 PCB 板图及封装库设计用印制电路板编辑器,设置工作层面和电路板画图环境,用 Protel 99SE设计印刷电路板过程如下:1. 启动印刷电路板设计服务器; 2. 规划电路板; 3.设置参数; 4 装入元件封装库; 5. 装入网络表; 6.元器件布局; 7.自动布线。电路板尺寸规划:加载网络表:当制作电路板时,发现尺寸不合适时,要加以修正,然后,在进行下一步。调整之后,自动布线

13、后生成PCB板图如下:印制电路板3D 效果显示如下:本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用 Quartus 软件 , 对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮 A、 B、 C、D,系统清零信号 CLR,系统时钟信号 CLK,计分复位端 RST,加分按钮端 ADD,计时预置控制端 LDN,计时使能端 EN,计时预置数据调整按钮可

14、以用2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创7/11.精品文档 .如 TA、TB 表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、 LEDB、 LEDC、 LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块。实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于 quartus2 软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。在不停的重复的编译、改错。

15、拿着 EDA修改稿、资料书检查出错的地方,一边又一遍的校对分析其中的错误。在实训中我们遇到了很多的问题。为了解决这些问题我和他们两个都在的想办法通过各种渠道寻找解决问题的方法。上网查资料、 问同学、图书馆查资料、 问老师、自己想办法,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。由于在一开始的时候对quartus2软件的不熟悉耽误了2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创8/11.精品文

16、档 .很多的时间,在接下来的几天里遇到了不少的问题。刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。于是晚上又找了些关于顶层文件资料还有课本上的例子。最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。“纸上谈来终觉浅,绝知此事要躬行。 ”在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的

17、诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于 quartus2 软件的使用也更加的得心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。实训只要你认真做了都是对自己能力一次很大的提高。本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程, 并为我们指点迷津, 帮助我们理顺设计思路,2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创9/11.精品文档 .精心点拨,时刻在帮助着我

18、们去提高自己。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作 PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。 一、 电路原理图及元器件库设计1. 原理图设计电路原理图的设计主要是protel 99 se的原理图设计系统来绘制一张电路原理图。在这一过程中,要充分利用protel99 se 所提供

19、的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。绘制简单电路原理图过程: 首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel 99se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建网络表;最后,加载网络表。2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创10/11.精品文档 .例如如下时钟电路原理图:当然,这还不算完整,然后对已经完成的电路原理图,进行

20、电气规则测试,找出错误原因,并改正。生成网络表和元器件材料清单。电气规则测试:分析检查报告内容,修改错误。时钟电路网络表2. 元器件库设计在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。我们可以根据所需建立一个自己的元器件库,当我们需要时,就可添加进去,直接使用即可。二、 PCB 板图及封装库设计用印制电路板编辑器,设置工作层面和电路板画图环境,用 Protel 99SE 设计印刷电路板过程如下: 1. 启动印刷电路板设计服务器; 2. 规划电路板; 3. 设置参数; 4 装入元件封装库; 5. 装入网络表; 6. 元器件布局; 7. 自动布线。2016 全新精品资料 - 全新公文范文 -全程指导写作独家原创11/ 11

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!