集成电路实验报告

上传人:痛*** 文档编号:66988392 上传时间:2022-03-30 格式:DOC 页数:21 大小:1.18MB
收藏 版权申诉 举报 下载
集成电路实验报告_第1页
第1页 / 共21页
集成电路实验报告_第2页
第2页 / 共21页
集成电路实验报告_第3页
第3页 / 共21页
资源描述:

《集成电路实验报告》由会员分享,可在线阅读,更多相关《集成电路实验报告(21页珍藏版)》请在装配图网上搜索。

1、集成电路分析与设计实验报告姓名: 胡鑫旭 班级: 130242 学号: 13024229 成绩: 目录实验2 Linux 环境下基本操作31.实验目的32.实验设备与软件33.实验内容和步骤34.实验结果和分析35.心得体会5实验3 RTL Compiler 对数字低通滤波器电路的综合61.实验目的62.实验设备与软件63.实验内容与步骤64.实验结果与分析65.心得体会12实验4 NC 对数字低通滤波器电路的仿真121.实验目的122.实验设备与软件133.实验内容与步骤134.实验结果与分析135.心得体会15实验5 反相器设计161.实验目的162.实验设备与软件163.实验内容与步骤1

2、64.实验结果与分析185.心得体会21实验2 Linux 环境下基本操作1.实验目的1. 熟悉 linux 文件、目录管理命令。2. 熟悉 linux 文件链接命令。3. 熟悉 linux 下文件编辑命令。2.实验设备与软件集成电路设计终端Linux RedHat AS43.实验内容和步骤1.系统登陆启动计算机,选择启动linux输入用户名:cdsuser,输入密码:cdsuser至此,完成系统启动,并作为用户cdsuser 登录2. 创建终端和工作文件夹在桌面区域单击右键,选择New Terminal,至此进入命令行模式(可根据需要打开多个)。键入察看当前目录命令: pwd 说明:此时出现

3、的是当前用户的根文件夹路径。路径指的是一个文件夹或文件在系统中的位置。Linux 根路径为“/”;当前路径为“./”; 当前路径的上一级路径为“./ ”。使用从根路径开始的路径名称成为绝对路径,如“/home/holygan/”。利用“./”,“./”等方式定义的路径名称成为相对路径,如“./holygan/”。键入察看当前目录文件命令: ls 说明:此时列出的是当前目录下的文件和子文件夹列表键入创建文件夹命令: mkdir 学号说明:以你的学号为名建立工作文件夹,所有实验工作应在此文件夹中完成。以防止和其他实验、毕业设计的同学所作的工作混淆。键入目录切换命令: cd 学号说明:进入工作文件夹

4、。 pwd ls 问题:此时pwd 和ls 的结果与此前结果是否相同?_考虑其原因。键入命令: ls a.dat 说明:将ls 结果保存为文件a.dat。键入复制、删除文件命令: cp ./a.dat ./a.dat 说明:将当前文件夹下a.dat 文件拷贝到父文件夹下,定名为a.dat cp ./a.dat./b.dat 说明:将当前文件夹下a.dat 文件拷贝到父文件夹下,定名为b.dat mkdir test cp ./a.dat./test 说明:将当前文件夹下a.dat 文件拷贝到子文件夹下,默认定名为a.dat rma.dat ls 说明:删除当前文件夹下的a.dat 文件,察看结

5、果 rm ./a.dat 说明:再次删除当前文件夹下的a.dat 文件,注意命令执行结果。 rm ./b.dat ls./ 说明:删除父文件夹下的b.dat 文件,察看结果 rmtest ls 说明:删除当前文件夹下的test 文件夹,察看结果 rm-rftest ls 说明:强制(-rf 选项)删除当前文件夹下的test 文件夹,察看结果3.文件压缩 cd /学号 ls 说明:进入工作目录,察看目录中文件 tar zcvf test.tar.gz filter_lab.pdf filter_lab_report.pdf ls 说明:将filter_lab.pdf 和filter_lab_re

6、port.pdf 压缩成压缩包,命名为test.tar.gz;察看结果。 tarzxvftest.tar.gz 说明:将test.tar.gz 解压缩到当前目录下,覆盖filter_lab.pdf 和filter_lab_report.pdf。4. 文件编辑、阅读 cd/学号 ls 说明:进入工作目录;察看目录文件 acroread filter_lab.pdf 说明:使用pdf 阅读器阅读实验指导书 ls a.dat gedita.dat 说明:使用gedit 编辑、阅读a.dat5. 链接命令cd/学号ls 说明:进入工作目录;察看目录文件 lns./hehe ls l 说明:创建到父目录

7、的符号链接hehe;察看目录文件,可看到hehe 指向./。 pwd cd hehe pwd 说明:可以看到cd hehe 等于cd ./;故符号链接可以方便的替代命令中常用的复杂路径名,简化操作。练习3删除工作目录/filter_lab/ 下名为library 的符号链接。建立指向/home/tools/cadence/training/holygan0712/ 的符号链接library6. 帮助命令在以上所有linux 命令中,如果不清楚用法,均可采用: 待查命令 -help man 待查命令 说明:两种察看命令说明的方法4.实验结果和分析将/home/tools/cadence/trai

8、ning/holygan0712/ 下的 filter_lab.tar.gz 拷贝到了实验目录下。 将/home/tools/cadence/training/holygan0712/下的 filter_lab.pdf 和 filter_lab_report.pdf拷贝到了实验目录下。删除了test.tar.gz。将 filter_lab.tar.gz 解压到了工作(实验)目录下。删除了工作目录/filter_lab/ 下名为 library 的符号链接。建立了指向/home/tools/cadence/training/holygan0712/的符号链接 library。5.心得体会实验2让

9、我学会了Linux 环境下的基本操作,比如创建目录、复制和删除文件等等。觉得这个系统在一些领域应该很实用,因为在这个系统下查找和删除东西很方便,只需几个指令。但是因为我对这个系统并不熟悉,所以实验操作进行得很慢,而且一旦中间一不小心漏掉了一步,就不知道该怎么挽救,只好再来一次。实验3 RTL Compiler 对数字低通滤波器电路的综合1.实验目的1. 掌握Cadence RTLCompiler 综合工具的基本作用,输入、输入文件;2. 熟悉Cadence RTLCompiler 命令行模式的使用方法;3. 了解Cadence RTLCompiler 图形模式的使用方法。2.实验设备与软件集成

10、电路设计终端Cadence RTLCompiler3.实验内容与步骤1.设置环境变量在运行EDA 工具之前,首先要设置与所使用工具相关的环境变量,否则系统将因为找不到工具相关文件、脚本而无法运行。打开一个Terminal,键入: cp ./cshrc./.cshrc source.cshrc 说明:拷贝cshell 初始化文件cshrc 到用户根目录,命名为.cshrc;调用该文件(.cshrc)完成环境变量设计。此后每启动一个Terminal,该文件都将自动被调用。2.图形模式下的综合首先,进入filter_lab/syn/work 文件夹输入: rcgui ./netlist/filter

11、.vg 说明:输出综合网表 write_sdc ./netlist/constraints.sdc 说明:输出约束条件。可用于布局布线的设计 report summary report area report power report timing 说明:通过报表给出所综合模块的信息,包括:面积、功耗、时序 report timing -from dc:all_inputs -to dc:all_outputs 说明:通过报表给出指定路径的时序分析结果。 至此完成了基本的综合设计过程(可使用exit 退出RC)。 4.实验结果与分析 实验结束后得到的数据如下(是从实验室拷贝后到自己电脑上打开后

12、的截图):当延时时间设定为30000ns时:当延时时间设定为10ns时:当延时时间设定为5ns时:由上面的截图可以读出我们要的数据,完成练习题:练习 1:记录此时的时钟频率、最长路径延迟时间、面积、功耗。 答:时钟频率:3.33 MHz 最长路径延迟时间: 29995020ps 面积: 6265130 um2 功耗: 2040395.426mW。练习 2: 根据最长路径延迟时间修改时钟 (如 100MHz, 200MHz) 约束, 重新综合, 观 察并记录此时电路的最长路径延迟时间、面积、功耗,并与练习 1 的结果比较。答:时钟频率:100MHz 最长路径延迟时间: 4225ps 面积: 51

13、97571 um2 功耗: 15044774.928mW。时钟频率:200MHz 最长路径延迟时间: 0ps 面积: 5601142 um2 功耗: 19494371.649mW。表3.1列出了不同时钟频率下弛豫时间,面积,和功耗值。时钟周期(ps)弛豫时间(ps)面积(um2)功耗(mW)300000002999502062651302040395.426100004225519757115044774.92850000560114219494371.649结果分析比较: 当延迟为30000ns时,相比之下,功耗最低,但所需面积很大。 当延迟为5ns时,功耗较高一些,但所需要的面积减少了很多

14、,延迟时间更短。 当延迟为10ns时,功耗也相对较高,但所需的面积有很大程度的减少,延迟时间不如5ns的好。 功耗时域面积成正比的,面积越大,功耗越大,随着始终频率的增大,最长路径的延迟时间减小。 思考题答案: 在增加时钟频率时,综合结果不能一直满足,时钟频率过高,会存在时序违反问题。5.心得体会 通过实验3RTL Compiler 对数字低通滤波器电路的综合这次实验,.我掌握了Cadence RTLCompiler 综合工具的基本作用;熟悉了Cadence RTLCompiler 命令行模式的使用方法;同时了解了Cadence RTLCompiler 图形模式的使用方法。这个实验相比于实验2

15、,步骤更加繁琐,但是由于本次实验主要是根据实验指导书的提示进行的,所以步骤十分明确。我经过一定的练习,对这个软件的功能有了更加深刻的了解,但仍然不是太了解。我希望今后有机会能够更多的学习使用相关软件。实验4 NC 对数字低通滤波器电路的仿真1.实验目的1. 掌握Cadence NC 仿真软件的基本作用,输入、输出文件;2. 熟悉Cadence NC 命令行模式的使用;3. 熟悉Cadence NC 图形模式的使用;2.实验设备与软件集成电路设计终端Cadence NC3.实验内容与步骤1启动NC输入命令 nclaunch &2建立工作环境选择FileSet Design Directory 菜

16、单在Design Directory 框中设定设计目录为filter_lab/sim/,然后点Create cds.lib file,弹出对话框点save,以及确定。3编译修改Directory 框中的路径为filter_lab/rtl/,同时选中filter.v和filter_tb.v,点击vlog 图标,编译后的图如图4-3所示。4elaborate用鼠标左键选择Nclaunch 右面窗口里工作目录(worklib)下的顶层实体worklib- filter_tb -module. 之后点击elabrator 的图标,在Elaborate之后,Nclaunch 右边窗口里面的Snapsho

17、ts 前面出现一个加号,表示Elaborate成功,否则,查看Log 窗口中的对应信息,修改,直到无误。5仿真1). 用鼠标左键选择Nclaunch 右面窗口里snapshots 里的顶层实体的结构体实体对(snapshots -worklib.filter_tb:module)。2)选Tool-Simulator 菜单,在弹出的对话框里选“确定”,弹出仿真器窗口。或者直接点击,此时会弹出console 和simvision 两个界面。3)点击Design Browser SimVision 的工具栏中的开启仿真波形窗口,并在Design Browser SimVision 窗口内展开左边浏览

18、器中的simulator,在右边的列表中选择希望观察的信号,选中后,点击鼠标右键选择send toWaveform Windows。4. )在Design Browser SimVision 窗口或Waveform SimVision 窗口内选择Simulatioin-Run 或者直接点击工具栏中的开始波形仿真。如果加入了新的信号,需要重新仿真点击复位,然后再次仿真即可。仿真过程中,可以点击将仿真过程终止。仿真结束后若要进行缩放,可点击窗口中的;若想改变数据的进制显示方式,可点菜单Format-Dadix/Mnemonic 进行修改。此时点击Formal 菜单中的Trace/Analog Sa

19、mple+hold 可以更直观的查看滤波效果。4.实验结果与分析5.心得体会 通过实验4,我掌握了Cadence NC仿真软件的基本操作方法,熟悉了Cadence NC命令行模式的使用。值得注意的是,仿真是设计过程中重要的一步,通过仿真可以发现设计中不易被发现的错误,从而对设计进行修改,以得到正确结果。此外,我发现实验需要高度的注意力,每一个步骤都不能有错误,如果漏掉或做错了某个步骤,就可能导致最后的仿真结果不符合要求,从而就要对我自己的设计进行修改,这便造成不必要的麻烦,也使我深刻认识到了实验要把每一步认认真真的完成,一步一步的不要着急,认真耐心学习与分析,这样才能提高我们的学习效率。最后感

20、谢老师同学的帮助,我的实验才能成功。实验5 反相器设计1.实验目的1. 学习全定制集成电路设计的方法与流程。2. 掌握Aether工具的使用方法。2.实验设备与软件集成电路设计终端Aether工具3.实验内容与步骤一. 设计环境设置1.将reference.tar拷贝到自己的目录下并解压:cp/home/tools/synopsys/training/empyrean-training/reference.tar./. tar xvf reference.tar 2.将reference_pdk目录下的一个文件display.drf拷贝到自己的目录下Cpreference_pdk/displa

21、y.drf./.二. 画逻辑电路图1. 运行工具启动Aether在完成启动环境设置后,在用户的设计目录下输入工具的启动命令:aether&在Library Path Editor 编辑窗口中,选择Edit-Add Library,添加0.18um-PDK库。在Add Library 窗口中,点击OK button,即完成library 的添加。3. 创建设计库(Create Library)在DM的窗口中,通过菜单命令File-New Library,或DM快捷图标栏中New Library的快捷图标,或在Library显示区域点击鼠标右键选择New Library,都可以创建设计库。在激活N

22、ew Library后,弹出New Library创建窗口。在New Library的窗口中进行相应的指定,点击OK,既可以完成Library的创建。4.创建原理图Schematic通过菜单命令FileNew Cell/View,或通过快捷图标或通过在Library列表中点击鼠标右键选择New Cell/View。在弹出的New Cell/View对话框中,在对话框中分别进行设置,完成yuan/inv/schematic的创建。5. 添加元器件Instance(Create Instance)反相器电路中包括PMOS、NMOS、GND、VDD、输入输出端口及连线。首先添加components。

23、所使用的是Create Instance命令,可以通过菜单CreateInstance命令、或快捷图标、或快捷键方式激活命令后,将自动弹出Create Instance选项设置窗口。6. 添加连线Wire(Create Wire)接下来,要对已经摆放好的MOS器件完成Wire连线。通过菜单Created Wire、快捷键方式激活Create Wire命令,单击键,可以弹出Create Wire选项设置窗口,在Schematic Editor中,通过单击鼠标左键,即可完成连线。7. 创建输入输出Pin(Created Pin)通过菜单命令Create Pin、或快捷键方式激活命令Cretae P

24、in命令后,将弹出Create Pin窗口,可以进行Pin Name的添加,可以实现选项设置,本次实验共需加4个pin:vdd、gnd、in、out;通过Pin Direction对Pin的类型进行设置,即in应设置为Input;out应设置为Output;vdd/gnd应设置为InputOutput;如果在添加Pin过程中,Create Pin窗口隐藏,可以通过再次唤出此窗口修改Pin的信息。三. 创建Symbol1.创建inv的symbol在yuan/inv/schematic 编辑器中,激活菜单命令Create-Symbol View,将弹出Symbol View的设置窗口。2.修改Sy

25、mbol并保存四. 电路仿真1. 创建测试电路2. 电路仿真五版图设计1. 创建版图layout2. 添加器件3. Create Via4. Create Path5. Create Rectangle6Create Label六设计规则检查(DRC)七版图和原理图一致性验证(LVS)4.实验结果与分析5.心得体会 实验5让我对MOS管有了更近一步的理解,从第一步的手动搭建MOS管形成一个反向器,到第二步仿真看波形,我的波形并不是一出来就是对的,后来经过老师的指导,才发现我的有条线是虚接的,这才出了正确的波形。这让我深深地体会到了学习需要一种认真谨慎的态度。之后还画版图,根据实验指导书一步一步认真地做,生怕一不小心漏掉了哪一步最后出不来结果,但是尽管如此,也不是一下子就做出来,原因还是我没有理解到MOS管正确的连接方式,并没有将各个MOS管连接到位,后来听老师详细介绍了一遍原理以及同学和老师的帮助,才做出来。版图设计让我对反相器的构成更加了解和清楚。此外,我还体会到上课听老师讲和自己动手做都同等重要,上课学好理论知识有助于我们更快更准确地完成实验,自己动手做一遍又反过来加深了我们队理论知识的理解,所以,两者是相互渗透、相互贯穿的,都同等重要!21

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!