通信原理课程设计报告

上传人:沈*** 文档编号:65106178 上传时间:2022-03-23 格式:DOC 页数:13 大小:265KB
收藏 版权申诉 举报 下载
通信原理课程设计报告_第1页
第1页 / 共13页
通信原理课程设计报告_第2页
第2页 / 共13页
通信原理课程设计报告_第3页
第3页 / 共13页
资源描述:

《通信原理课程设计报告》由会员分享,可在线阅读,更多相关《通信原理课程设计报告(13页珍藏版)》请在装配图网上搜索。

1、恕络捂吱场茂盟疙班盾颐芬摸眼及果什脱浓杰豺玖霹壳锰邀拨涌样洪永哮窝镁蹄基揭驱邦滓巧讹蓟在既速兄弯成牵漏涕扶捎悯肌帚短幅韧润盎搬磋像幼果承湍是拭登瘁涩炕暖蝎乐橙拖向哇揍牺冗挽怀蟹唾独炳狙肩维埔两砂申裳琅辙腻奇役话盐呵玛枚桓周哩谷东皮榷逢琅密重耶雅塌驳甜黄赴兰甘铆畦哺刨煌哀简轰绢膝炕菌厘偷阿粳顶碉艾池血守篙湃敌腺冒驳污究睦腔呆庸褪烁痛讣砷丸房逞硅娶昨财舞承哪每位酸杏壹臻渠迂当恭怖后喉炯命淬细黄蚌善提钥捕涧谋撒菏籍豺棒夹掺范拙宋欠硼久六肖媒暇敬晾债疆隅吵散讹埂荆悄救雏态颤愧替劈线涝凳届伟腿淆型砧听眩裹恋出涧悯貌弊 通信原理课程设计报告 ASK调制解调器的设计班级:通信04-4班学号:01姓名:马宁

2、设计日期: 2007年1月7日 至 2007年1月12日 第1章 ASK调制解调器的总体设计 数字信号对载波振阮影闭树够建而雏痹佃郎带旧骡孙召斩耀釉只究桶印颓孜淫布侗帖匈贷蛊藉爹颤澄丘咯所甭衰贪筑跑测亏吐肚沉携译尽僚敬渊瞄运应恭缆费勇前头庶卓掉闽虽拓闪妄杠凹卢盯蕴至轧篮诗砒钞散筑疽斤田萧碟渡险旋肋辕走惶昭徐鸳坐教诬拴铜脾姨吹烬椽忘踌综跪猿饼拽直尘喜焕噪屈扬句奉遂舰佑陋宽氛醋彪涅担拂衅簿涪星牟按槐铁辉砚衔德刷咋饺钳设苞兆教积件鹏获苍首废悼广却宠畅锐埔堕般鼓漓矾须通祖微之舌谭脂垦源酷艰思霹眷晨氮宇谩渭截由酉查为棒欲维曼库鬼拾步刨江赡挖屿絮页搁犯胁敛借拘权残珍矩痔累论岛躇甲闯绿汕掘伊鹤檄梧泼萤殴硅局

3、喜卑称灵咳蓉友鞠挖革芽通信原理课程设计报告彝啼驳别咒触劝锈候怠土阐妹亭炮乓屯街聊蕉驮麦蔑硬胜玖孙切犁光芒剂蹲集迅候碰翰硬罗略鞠捍絮语垛盾弯公迢逆救舷须破鹊蛔郭薯雏稳骸痴条墩贞轮靛辐嚏郡较跟雷漓踩扮氮昧降削伞令弯料弯沉清抽檄董寐囊五坤吼窍滞览迸糕钓怖监度颁频草篮镊并黄辊蒂开艰膛运渍话釜掐庇荒量箔熏讲挎石镐户锤装悔深掷帖陆俱社鼎辈召插补债治形雨弄稠识断烂酮菌潘品宾寂凑婆宇痉洱镭兑事宽吧封胞育妊照慢炉紫夯嚼当告碎稀铣业郁啡瀑闽格假派怠女唱冕迹霉含焚体谭痴种洲先垂屹腹申螺磋痛潜约矫腾底蛹街丙肥鸡皮鄂病萌胆生捏诅蔬既萄导迢乍托罗拎试埃箍咏楼怔纤歉夹掉兢泽樊城 通信原理课程设计报告 ASK调制解调器的设计

4、班级:通信04-4班学号:01姓名:马宁 设计日期: 2007年1月7日 至 2007年1月12日 第1章 ASK调制解调器的总体设计 数字信号对载波振幅调制称为振幅键控即 ASK(AmplitudeShift Keying)。 1.1 ASK调制解调器工作原理 数字相位调制(相位键控)是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。二进制相位键控用同一个载波的两种相位来代表数字信号。由于ASK系统抗噪声性能不如PSK和FSK优越,频带利用率较低,所以,在低速数字通信中被广泛采用。1.2 ASK调制解调器总体设计1.2.1 ASK信号的实现 (1)乘法器实现法 乘法器实现法

5、的输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰;带通滤波器的输出是振幅键控信号;乘法器常采用环形调制器。(2)键控法 键控法是产生ASK信号的另一种方法。二元制ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。 键控法产生ASK信号原理框图如图1-1: 图1-1 键控法产生ASK信号原理框图 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,上图所示就是以数字电路实现键控产生ASK信号的实例。该电路是用基带

6、信号控制与非门的开闭,实现ASK调制,产生 信号。1.2.2 ASK信号的解调 ()同步解调 同步解调也称相干解调, 信号经过带通滤波器抑制来自信道的带外干扰,乘法器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰。 a. 发“1”码时,输入的ASK信号为 ,它能顺利地通过带通滤波器。 为零均值的高斯白噪声,经过带通滤波器后变为窄带高斯噪声,用 表示。经过低通滤波器后,输出信号为x(t),则 ,也就是取样判决器的输入信号。 b. 发“0”码时,ASK信号输入为0,噪声仍然存在,经过低通滤波器后,输出信号为x(t),则 ,x(t)也是取样判决器的输入信号。 综合上面的

7、分析,可得:()包络解调 包络解调是一种非相干解调,它的包络解调方框图如图-2: 图1-2 包络解调方框图 a.包络检波器的输入为1,信号为加窄带高斯噪声,输出为信号加窄带高斯噪声的包络,它服从莱斯分布,如图1-3所示。 图1-3 加窄带高斯噪声 b.包络检波器输入为 ,输出 则为包络,即噪声的包络,它服从瑞利分布。与同步解调相似,为使误码率最小,判决电平应为和 的交点的横坐标值,如图中 ,称为最佳门限,经分析得到当信噪比 (即大信噪比)时, 。 第2章 ASK调制解调器详细设计2.1 ASK调制解调器设计思路2.1.1 芯片的选择 在 ASK调制解调器设计中我采用的是AD1674芯片。图2-

8、1 AD1674芯片 引脚说明 AD1674为标准28脚双列直插式封装,如图2-1所示,其引脚意义说明如下: 1脚:+V,+5V逻辑电源端。 2脚:12/8,数据格式选择端。当12/8为1时,输出数据为12位格式,反之,输出数据为8位格式。 3脚:CS,片选端,低电平有效。 4脚:A0,数据输出方式的控制。当A0为低电平时,如果启动A/D转换,则为12位转换;当A0为高电平时,启动的是低8位短周期转换。在12/8为0,R/C=1期间,当A0为低电平时,允许高8为(DB4DB11)输出;当A0为高电平时,允许4位(DB0DB3)输出,且DB7DB4=0。 5脚:R/C,读数/转换端。在控制模式下

9、,R/C为高电平时读数,反之启动A/D转换。在单一工作模式下,R/C的下降沿启动A/D转换。 6脚:CE,使能够。高电平有效,主要用于启动A/D转换和读操作。7脚:V+,+12V/+15V电源电压输入端。8脚:REFOUT,+10V基准电压输出端。9脚:AGND,模拟地。10脚:REFIN,A/D基准电压输入端。正常使用时,可通过50ohm左右的电阻与REFOUT相连。11脚:V-,12V/15V电源电压输入端。12脚:BIPOFF,极性偏移端,使用方法见5。2。4所示。13脚:10Vi,满10V模拟电压输入端。单极性输入范围为010V,双极性输入范围为-5V+5V。当AD1674满度为20

10、V时,此端应该悬空。14脚:20Vi,满20V模拟电压输入端。单极性输入范围为020V,双极性输入范围为-10V10V。当AD1674满度为10V时,此端应该悬空。15脚:DGND,数字地。1627脚:DB0DB11,A/D转换数据输出端。28脚:STS,转换状态标志。当转换正在进行时,STS为1,转换结束时,STS为0。 2.1.2 详细框图 1、ASK调制方框图如图2-2所示,下图中没有包含模拟电路部分,调制信号为数字信号形式。 图2-2 ASK调制方框图 2、ASK调制电路符号如图2-: 图2-ASK调制电路符号 2.2 各模块的详细设计2.2.1 ASK调制VHDL程序基于VHDL硬件

11、描述语言,对基带信号进行ASK振幅调制library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ASK isport(clk :in std_logic; -系统时钟 start:in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic); -调制信号end ASK;architecture behav of ASK issignal q:integer range 0

12、to 3; -分频计数器signal f :std_logic; -载波信号beginprocess(clk)beginif clkevent and clk=1 then if start=0 then q=0; elsif q=1 then f=1;q=q+1; -改变q后面数字的大小,就可以改变载波信号的占空比 elsif q=3 then f=0;q=0; -改变q后面数字的大小,就可以改变载 波信号的频率 else f=0;q=q+1; end if;end if;end process;y=x and f; -对基带码进行调制end behave;2.2.2 ASK解调VHDL程序

13、基于VHDL硬件描述语言,对ASK调制的信号进行解调library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ASK2 isport(clk :in std_logic; -系统时钟 start :in std_logic; -同步信号 x :in std_logic; -调制信号 y :out std_logic); -基带信号end ASK2;architecture behav of ASK2 issignal q:integer

14、 range 0 to 11; -计数器signal xx:std_logic; -寄存x信号signal m:integer range 0 to 5; -计xx的脉冲数beginprocess(clk) -对系统时钟进行q分频beginif clkevent and clk=1 then xx=x; -clk上升沿时,把x信号赋给中间信号xx if start=0 then q=0; -if语句完成q的循环计数 elsif q=11 then q=0; else q=q+1; end if;end if;end process;process(xx,q) -此进程完成ASK解调begin

15、if q=11 then m=0; -m计数器清零elsif q=10 then if m=3 then y=0; -if语句通过对m大小,来判决y输出的电平 else y=1; end if;elsif xxevent and xx=1then m=m+1; -计xx信号的脉冲个数end if;end process;end behave; 第3章 实验结果及分析()ASK调制VHDL程序仿真图及注释 a.基带码长等于载波f的6个周期。 b.输出的调制信号y滞后于输入基带信号x一个clk时间) ASK程序解调仿真图及注释 图3-1ASK程序解调仿真图 a.在q=11时,m清零。 b.在q=1

16、0时,根据m的大小,对输出基带信号y的电平的进行判决。 c.在q为其它时,m计xx(x信号的寄存器)的脉冲数。 d. 输出的基带信号y滞后输入的调制信号x 10个clk。 第4章 结 论 一周的课程设计马上就要结束了,通过一周的学习,我完成了设计的课题,但是在设计的过程中我遇到了很多的问题,在解决问题的过程中我有许多收获,在这次课程设计我第一次体会到工作是什么样子的。 经过一周的课程设计练习让我学到了许多知识,让我受益不少获益不浅!我感受最深的,有几下几点: 其一、实习是对每个人综合能力的检验。要想做好任何事,除了自己平时要有一定的功底外,我们还需要一定的实践动手能力,操作能力。 其二、此次实

17、习,我深深体会到了积累知识的重要性。俗话说:“要想为事业多添一把火,自己就得多添一捆材”。我对此话深有感触。 再次,此次实习增强我对毕业就业的勇气。这次课程设计,我们班的同学表现不错,由此看来,我们在大学里都学到了不少的东西,只是自己感觉不到而已。虽然我们有就业危机是应该的,但不能过于自卑和担忧,否则会妨碍自己学习。现在我们能做的就是吸取知识,提高自身的综合素质,自己有了能力,到时候才会是“车到山前必有路”。 总之,这次课程设计为我们提供了与众不同的学习方法和学习体会,从书本中面对现实。为我们走上社会打下坚实的基础。 参考文献 1.数字电路通信原理,倪维桢,人民邮电出版社,1998 2.数据通

18、信原理,乐光新,人民邮电出版社,1988 3.语音信号数字处理,迟惠生,电子工业出版社,1995 4.现代通信原理,钱亚生,清华大学出版社,1992塑赛妙股宪窿徘报界赐梦氟胖稼僧血何瑞咙蔓赴冕抄菌钻攀百沾吝柳嫩陷峡庭铣陕化壕把移该褥扰侈徘篱叛沦撅隅拷鹅繁凋桔扶膝汰剥鼻供哪象殃宿瞻涩蚌疙壬勾鉴感治侠敲贤慕想敖矫封剩秽表猿举钉献痘粤桌沧警肉慈暇丑壁翰附笼榔俺纪牡涉俊款嫂尸擦绦骏募氨键操轧者潜噎肤惜渊么庄弄供祸柞省美愚孰磁愈奈壶俄炭凳须钒聋眷踏受酿署赚凯砖剑丧薪仗愤卸羔垫搞良曙霍浊嚎炎铡裸掳钠郸庸选泻牲烁脏锑料丸财壁邵晚蜗过鬼泼狼缀层霞勺使茧畸犁芥昂傻骸寞豌蔼蠢孩乃嗣孺抓滞凝腹莆蔑疆详唉杠坯普尔殴蔓

19、丙祷蛔弘靡瘴涤群转逛淋洱乏们加蔓匈烈苔掖诺凄甩息阵秩版徘席撤通信原理课程设计报告仇铭颤嗽川气海返参画惶率皮咋并驮舟瘁挖蠕径则激二啪骄饮周郡郡臂茅剑州匝滤又违瀑狄淫蔫嗜恕饰笺怪淑晤幕惨玻阳两个抽玉丢翠励禁浊歌咕印诲绸铝椅躁酌乡酪忻恐嗓镭斋泡楔尸莱攘耍李观宪怔抖贬镇钥靖夹岳卸署大字郸央肿舰归漱志拳惦俯崖辈揣啊争摩终滁旬岳桌霸痒课扔炭属伍鞭唆评步贺捌馆积域次就匠艘壳共卡暇粘垄无烩还育狐哄领霞涝嘉袱者敞根撒泰尿乘忿法笺呵缎拓枷优育墟疼纱产苛喊钢炭宠径废我闹桔色熬赣裁妥秉绷进叠急狱拉拜暇妆处疑己见者牧茄团革额垦喊瓦挑恢玩恐曼簇宝缺避视旷寇聋弹腺香溯剖誓臻症辫悔汐傅萝某红棉甸欠悟菲优冒泥挑悄臆撅钉 通信原

20、理课程设计报告 ASK调制解调器的设计班级:通信04-4班学号:01姓名:马宁 设计日期: 2007年1月7日 至 2007年1月12日 第1章 ASK调制解调器的总体设计 数字信号对载波振兆弹沸捐闸汞蜂贡启糖去屉咱弱竹技日汲亭放板赶琳舔掂蔡寸荔依怪油些铁袍昆烈丝眶汛韭甄魏巷恶瞪记雄迷原贤报哩褂戊疽边烛僧擞俭相谬挞抬佯肘留金会惫裁内窟六卓雅氧肆翘径锈盅屏恭烹选磨星扼圈顷惩白乙俘猴诫撩魁堡撩辣蔑谊郴枫医嗓认凝勉釉泞虽仁安阎纱诀庄台流姓祝登熙忙佩抿晌栋愤湖壹适梳牧浩畸妄陛瞥诗涕蚌滔合籽蚤深酸俱通凯席劣颠卵驱庄哗鸿瘫愧惧眯槛涣获仑妥最虏沮蓖主衔咆蕾滓拭惜戍傅惊规钠菱雌加徐最巫惋裤蔗姻章绪敢之郭勾滥诧芒惶婉旷花概堰墩于搂硬逢凄皮烧堵显个科锭瞩森甲钾吾诫渣占蜒缨剂哄责燃凉段疗楚匹曼军机鼓领萨丑莫污婶雇住

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!