数电习题及答案

上传人:飞****9 文档编号:61369221 上传时间:2022-03-11 格式:DOCX 页数:35 大小:1.21MB
收藏 版权申诉 举报 下载
数电习题及答案_第1页
第1页 / 共35页
数电习题及答案_第2页
第2页 / 共35页
数电习题及答案_第3页
第3页 / 共35页
资源描述:

《数电习题及答案》由会员分享,可在线阅读,更多相关《数电习题及答案(35页珍藏版)》请在装配图网上搜索。

1、时序逻辑电路与组合逻辑电路不同,其电路由组合逻辑电路和存储电路触发器3两部分组成。描述同步时序电路有三组方程,分别是驱动方程、状态方程 和输出方程时序逻辑电路根据触发器的动作特点不同可分为同步时序逻辑电路和异步时序逻辑电四、试分析图T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。JoKo1Q0Q0解:驱动万程:状态方程:0_输出方程:YQ1Q0J1K1QoQ1n1Q1QoQ1Qo状态图:功能:同步三进制计数器五、试用触发器和门电路设计一个同步五进制计数器。解:采用3个D触发器,用状态000到100构成五进制计数器。1状态转换图2状态真值表状态转

2、现态次态进位输出换顺序Q2QiQ0Q2n1Q1n1Q01YSo0000010S0010100S20100110S30111000S410000013求状态方程4驱动方程5逻辑图略题7.1分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。解:触发器的驱动方程J0Q2J1QoJ2Q1Q0K01K1Q0K21触发器的状态方程n1Q0Q2Q0n1Q1QiQ0Q1Qon1二Q2Q2Q1Q0输出方程YQ2状态转换图如图A7.1所示所以该电路的功能是:能自启动的五进制加法计数器。题7.3试分析图P7.3时序电路的逻辑功能,写出电

3、路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。输出方程状态方程Z (X Qi) Q0K0Q0(XQi)QoQin1JiQTKlQi(XQ0)q1状态转换图如图A7.3所示功能:所以该电路是一个可控的3进制计数器。题7.5分析图P7.5时序电路的功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。解:输出方程Y,SQQ0,Y2Q2Q1Q0J。K01驱动方程J1SQ2Q0K1Q0J2Q1Q0K2SQ1Q0求状态方程n 1Q1SQiQ0 Q2QiQ0 QiQ0n 1Q2SQ2Q1 Q2Q1Q0 Q2Q0得电路的状态转换表如表A7.

4、5所示表A7.5输入现态次态输出SQnQ:QnQn1Qn1Q01Y1Y2000000100000101000001001100001110000010010100010111000011011100011100001100000100100101000101001100101110000110010100110100000111011100111100011画出电路的状态转换图如图A7.5所示1/10I111一皿丝必坦口1口吟口翌1口口缙玛1口鸣1口QKTQ?ifoo|I卜1。o/oi图A7.5逻辑功能:这是一个有两个循环的电路,S0时实现八进制计数、Y2为进位输出,S1时实现六进制计数、X为

5、进位输出。当S1时存在2个无效态110、111,但未形成循环,电路能自启动。题7.6试用JK触发器和门电路设计一个同步六进制加法计数器。解:采用3个JK触发器,用状态000到101构成六进制计数器,设电路的输出为Y。根据题意可列电路状态转换表如表A7.6所示状态转现态次公进位输出换顺序Q2Q1Q0Q21Q?1Q(n1YS00000010Si0010100金0100110S30111000&100101081010001由状态表求得电路的次态和输出的卡诺图如图A7.6a所示,其中斜线下方是输出端Y的值,状态101、110、111作无效态处理,用x表示。0001II10ooi.flOIGOmacO

6、H010J/00(K;1XX由卡诺图得电路的状态方程和输出方程Q:1Qon1Q1Q2Q1Q0Q1Q0n1Q2Q2Q1Q0Q2Q0YQQ1Q0由状态方程可得电路的驱动方程J01J1Q2Q0J2Q1Q0最后设计电路逻辑图如图A7.6(b)K01K1Q0K2Q0题7.7用D触发器和门电路设计一个十一进制计数器,并检查设计的电路能否自启动。解:用4个下降沿D触发器设计,设电路的进位输出为Y,可列电路的状态转换表如表A7.7CP的触发器的状态输出顺序Q3Q2Q1QoY000000100010200100300110401000501010601100701110810000910010101010111

7、00000驱动方程D3Q3Q1Q2Q1Q0D2Q2Q1Q2Q0Q2Q1Q0DiQ1Q0Q3Q1Q0DoQ1Q0Q3Q0输出方程YQ3Q1电路图略题7.8试用JK触发器设计一个可控型计数器,其状态转换图如图P7.8所示,A0,实现8421码六进制计数;A1,实现循环码六进制计数,并检验电路能否自启动。解:本例所设计的计数器有一控制变量存在,设计时将控制变量作为一个逻辑变量画入电路的次态卡诺图中。设电路的进位输出为Y,根据题意可画出次态卡诺图如图A7.8所示700L001001000QILO10J0000.1XXXOOll11L0X01L001001ISO11ID001110图中上面两行为M0时的

8、状态及次态的内容,下面两行为M1的状态及次态的内容。电路作8421码六进制加法1t数器时,110和111为无效状态视为无关项,电路作循环码路进制计数器时,000和100为无效态视为无关项。电路的驱动方程和输出方程设计时需用3个JK触发器J。AQ2J1Q2Q0J2AQ1Q0AQ0K0AQ2MQ1K1AQ2Q0K2Q1Q0YQ2Q1Q0逻辑图略题7.12四相八拍步进电机脉冲分配电路的状态转换图如图P7.12所示。试用JK触发器和部分门电路实现之,画出相应的逻辑电路图。解:用触发器Q3、Q2、Q1、Q0的状态来表示步进电机四相的状态,根据题意可求得四相八拍脉冲分配电路的驱动方程为J0Q3Q2J1Q3

9、Q2J2Q3Q0J3Q2Q1K0Q3K1Q0K2Q1K3Q2逻辑电路图略1.半导体存储器从存、取功能上可以分为只读存储器和随机存取存储器两大类。5.半导体存储器中,ROM属于组合逻辑电路,而RAM可归属于时序逻辑电路。习题题11.1假设存储器的容量为256x8位,则地址代码应取几位。解:8。一、可以用来暂时存放数据的器件叫寄存器。二、移位寄存器除寄存数据功能外,还有移位功能。三、某寄存器由D触发器构成,有4位代码要存储,此寄存器必须由个触发器构成。四、一个四位二进制加法计数器,由0000状态开始,问经过18个输入脉冲后,此计数器的状态为0010。五、n级环形计数器的计数长度是_n_,n级扭环形

10、计数器的方t数长度是2n六、集成计数器的模值是固定的,但可以用清零法和置数法来改变它们的模值。七、通过级联方式,把两片4位二进制计数器74161连接成为8位二进制计数器后,其最大模值是256;将3片4位十进制计数器74160连接成12位十进制计数器后,其最大模值是4096。八、设计模值为38的计数器至少需要6个触发器。题8.3分析图P8.3的计数器电路,画出电路的状态转换图,说明这是多少进制计数器。十六进制计数器74161的功能表如表8.2.2所示。I-h训教肿冲仁尸一3解:采用同步预置数法,LDQ3Q1。计数器起始状态为0011,结束状态为1010,所以该计数器为八进制加法计数器。状态转换图

11、略。题8.4分析图P8.4的计数器电路,说明这是多少进制的计数器,并画出电路的状态转换图。十进制计数器74160的功能表如表8.2.6所示。计收脓冲CP一74 MlEPET Rd解:该计数器采用异步清零法,RdQ3Q0o计数器起始状态为0000,结束状态为1000状态1001只是维持瞬间,所以该计数器为九进制加法计数器。题8.5试用十六进制计数器74161设计十三进制计数器,标出输入、输出端。可以附加必要的门电路。74161的功能表如表8.2.2所示。解:9题8.6分析图P8.6的计数器在M1和M0时各为几进制计数器,并画出相应的状态转换图。74161的功能表如表8.2.2所示。解:该计数器采

12、用同步预置数法,LDQ3Q2。所以M0时:起始状态为0010,结束状态为1100,所以该计数器为十一进制加法计数器。M1时:起始状态为0100,结束状态为1100,所以该计数器为九进制加法计数器。状态图略。题8.7分析图P8.7的计数器在M1和M0时各为几进制,并画出相应的状态转换图。74161的功能表如表8.2.2所示。解:该计数器采用同步预置数法。M 0时:起始状态为M 1时:起始状态为计她由中工/nMQ3Q1LD MQ2Q1Q00000,结束状态为0000,结束状态为1010,0111,所以该计数器为十一进制加法计数器。所以该计数器为八进制加法计数器。状态图略。题8.8设计一个可控进制的

13、计数器,当输入控制变量A 1时为13进制计数器,A 0时为7进制计数器。标出计数器的输入端和进位输出端。解:电路采用同步预置数法。LD AQ3Q2 MQ2Q113电路逻辑图如图A8.8所示计数脓冲CP题8.11试分析图P8.11计数器电路的分频比即Y和CP的频率比。74LS1610的功能表如表8.2.2所不。rcp!_Q Qi Qi Qs 飞1741*0)解:两片计数器接成并行进位方式,其中第1片74160计数,起始状态为0000,结束状态为1001,为十进制计数器。第2片74160计数,起始状态为0110,结束状态为1001,为四进制计数器。所以该计数电路的分频比fYfCP140题8.12试

14、用同步4位二进制计数器 74LS161芯片和必要的门电路来组成一个125进制加法计数器。要求标出计数器的输入端和进位输出端;画出逻辑连接图。解:计数的起始状态为 00000000,结束状态为01111101,电路逻辑图如图 A8.12所示题8.13设计一个序列信号发生器电路,使之在一系列CP信号作用下能周期性地输出“11010010111”的序列信号。解:根据题意电路可由计数器+组合输出电路两部分组成。第一步:设计计数器序列长度S11,设计一个模11计数器,选用74LS161,设定有效状态为Q3Q2Q1Q0=01011111。第二步:设计组合电路设序列输出信号为L,则计数器的输出Q3Q2Q1Q

15、0和序列L之间的关系如表A8.13所示。Q3Q2Q.1Q0L0000X0001X0010X0011X0100X0101101101011101000110010101001011111000110111110111111化简得组合逻辑电路表达式为:LQ2Q1Q0Q2Q1Q0Q3QQ0Q2QQ0最后电路图如图A8.13所示其中组合部分略o题8.14图P8.14是由同步十进制计数器74160和3线-8线译码器74LS138组成的电路。分析电-L0 Q(1 Qi Q? Qj c 741 51605 ir i,凡马认路功能,画出74160的状态转换图和电路输出 Yi i CP的波形图。WY1V A A

16、4解:74160接成八进制计数器,计数状态从0000到0111,电路输出波形如图 A8.14所示CPQ011Q1IIIIQ2Y0Y1I丫2丫3Y4j丫5=1丫6Y7题8.15试设计一个具有控制端M的序列信号发生电路。当M分别为0和1时,在时钟CP作用下,电路输出端Y能分别周期性地输出10011010和00110101的序列信号。用74LS161芯片和门电路实现。解:第一步:设计计数器序列长度S8,则只用74LS161的、2、400从000到111状态即可。第二步:设计组合电路根据题意,计数器的输出Q2Q1Q0控制端M和序列Y之间的关系如表A8.15所示。表A8.15Mq2Q1Q0Y000010

17、00100010000111010010101001101011101000010010101011011111000110111110011111化简得组合逻辑电路表达式为:YMQ1Q0MQ2Q0MQ2Q1MQ2Q0Q2QQ0电路图略单项选择题1 .组合逻辑电路通常由组和而成。a记忆元件b门电路c计数器d以上均正确答案b2 .能实现算术加法运算的电路是。a与门b或门c异或门d全加器答案d注释:与门,或门,异或门等实现的是逻辑运算,半加器,全加器,加法器实现的是算术运算3 .N位二进制译码器的输出端共有个。a2n个b2n个c16个d12个答案b4 .3线-8线译码器74LS138,假设使输出R

18、0,则对应的输入端AAA应为.a001b100c101d110答案c5 .要使3-8线译码器正常工作,使能控制端G、G2A、G2B的电平信号为。a011b100c000d0101答案b二、试用3线8线译码器74LS138和门电路实现一个判别电路,当输入的三位二进制代码能被2整除时电路输出为1,否则为0。答案:根据题意,写出真值表,如表R5.4所示。ABCY00000010010101101001101011011110表 R5.4A一A2Y0B 一A1Y1C 1A0Y27QY3/AY41-1S1V5Y5Y6Z-7RS2S3Y7(&-35由表R5.4,得出,YAbCABCABCm,m4m6由于7

19、4LS138的输出Y为吊,因此令AA2,BA1,CA0,则得丫m2m4m6m,m4m6Y2Y4Y6根据上式画出逻辑图,如图R5.3所示。四、用与非门实现4变量多数表决电路,即当4个变量中有3个或3个以上的变量为1时,输出为1。答案:1四变量多数表决电路的真值表如表R5.6aBCDYaBCDY00000100000001010010001001010000110101110100011000010101101101100111010111111111表 R5.6ABCD由表R5.6,写出丫的表达式:YABCDABCDABCDABCD用卡诺图化简,如图R5.5。化简得YBCDACDABDABC将变

20、换得,YBCDACDABDABC写出逻辑图,如图R5.6.CDAB、.00000111100111105V A2AiAo74L138o 1 2 3 5 7YYYYYYY Yz&-Y题5.1分析图P5.1所示组合电路,写出输出Y的逻辑函数式,列出真值表,说明逻辑功能。解:1写出输出丫的逻辑函数该电路式由3线8线译码器74LS138和一个与门构成。使能端S31,S2S10时,译码器处于译码状态,其输出为Ymi,m是由A2,A1,Ao或图中A,B,C构成的最小项。Y0m0A2AiAo%m7A2A1A0将A2A,AB,AoC代入上述各式,Y0,Y7变为:Y0ABCY7ABCYY0 Y7ABC ABC

21、(A B C)(A B C)aB Ab ac Ac bc bc2列出真值表,如 表A5.2所不。3分析逻辑功能由真值表A5.2可知,当A B C 0时,Y 0 ;当A B C 1时,Y 0因此,该电路是一个不一致电路,即当A,B,C相同时,Y为0; A,B,C不同时,Y为1。题5.4 室对3种 编码控制,按紧急次序排列优先 火警、急救、报警试设计该编码电路。ABCY00000011010101111001101111011110 权局表 A5.2解:设火警为A,急救为B,报警为C,分别编码00、 电路如图A5.2(b)所示。01、10,列真值表A5.6。画卡诺图 图A5.2(a)。表 A5.6

22、X00000BCA00 011110F1F2ABCF1F2000XX00110010010110110000101001100011100题5.8某学校有三个实验室,每个实验室各需2kW电力。这三个实验室由两台发电机组供电,一台是2kW,另一台是4kWo三个实验室有时可能不同时工作,试设计一逻辑电路,使资源合理分配。解:1分析题意设输入变量为A、B、C表示三个实验室,工作为1,不工作为0;设输出变量为X、Y,分别表示2kW,4kW的发电机,启动为1,不启动为0。2列真值表分析过程可列出真值表如表A5.9所示。3画卡诺图ABCXY000000011001010011011001010101110

23、0111111由真值表面出卡诺图,如图图A5.6所示。BCA00011110sBCA000111100010100101101010J表 A5.94逻辑表达式将图A3-6-1a的卡诺图化简得X(1,2,4,7)ABCY(3,5,6,7)ABBCAC5画逻辑电路图由逻辑表达式可画出逻辑图,如图A5.7所示。题5.9用全加器实现4位8421BCD码解:用全加器实现4位8421BCD码相加时,其和是二进制码。当和数小于等于9时,8421BCD码与二进制码相同。但当和数大于9时,8421BCD码产生进位逢十进一,所以用二进制全加器对两个8421BCD码相加后,需要将二进制表示的和数转换成8421BCD

24、码。转换原理:4位二进制数是逢十六进一,4位BCD码是逢十进一,所以当二进制数表示的和数大于9时,就应加6实现逢十进一,而小于等于9不加6,电路如图A5.8所示。二二二二A3& 氏比巳BoA2AiAo74 283B3B1B0C0B2F0uT LlLC1B2 74283B1B0C4GF2E、/43 2 1ccssss题5.11在某项比赛中,有A,B,C三名裁判。其中A为主裁判。当两名必须包括A在内或两名以上裁判认为运发动合格后发出得分信号。试用4选1MUX设计此逻辑电路。解列出真值表。设合格为1,不合格为0,A,B,C为输入逻辑变量,F为输出逻辑变量,其真值表如表A5.11所不确定地址输入变量令

25、AAAB。O写出F的表达式。FABCABCABCABCAB0确定口,使丫=5。把F表达式与4选1MUX的功能表达Y式相比较,并取D1D00f(D),D2C,D31,则有Y=FOAB0C画逻辑图如图A5.11所示。SYA1MUXA0D0D1D2D3表A5.11真值表输入输出ABCF00000010010001101000101111011111题5.12试用双四选一74LS153设计全减器电路。解:1列真值表,如表A5.12所示。A,Bi分别为被减数,减数,Ci1为低位向本位的借位,G为本位向高一位的借位。表A5.12ABiCi1SCi000000011101011011011001010100

26、1100011111(2)表A5.12的逻辑函数与四选一的输出逻辑函数比照。并画出逻辑图比照可采用逻辑函数式比照,也可以采用真值表比照。方法一:采用逻辑函数式比照表A5.12的输出S,G的表达式分别为 6AiBCABG1ABiCi 1 ABCii别进行设计,先设计ABGAAd10ABiCi 1ABiCi 1A1AD11A1AD12D10Ci i,Dii设计Ci 。CiABiCi 1 令AAD13Ci 1,D12Ci 1,D13Ci 10ABCii ABCi174LS153 的A A,A0 Bi ,与上述方法同,令 74LS153的Y2 G ,则有D20AiBiCi 1ABiCi 1 对于输出个

27、四选一,如令Y则上 两式比 照 结 果Ci 1,D2i 1,D220,D23 CiS,CiSi则i画出逻辑图,如图A5.12所示。SiCiABC-1题5.14用8选1数据选择器74LS151实现逻辑函数Z ABC AD ACD解:当使能控制端 S 0时,8选1数据选择器输出与输入之间的关系表示为y(AAAOD0 (A2AA0)Di(A2AAOD2 (AAAOD3 (AAAJD4 (A2AA)D5 (AAA)D6 (A2AAo)D78选1数据选择器有3位地址输入 给定的函数式化成与上式完成对应的形式n=3,能产生任何形式的四变量以下的逻辑函数,故可将z ABC A(C C)(B B)D a(b

28、B)cdABC ABCD ABCD ABCD ABCD ABCD ABCDABC 1 ABc 0 Abc 0 Abc 0 aBc D aBc D abC D 对照Y, Z两式,令Y Z可得A2 A、A B、A0 cDo 1, D1 D2 D30D4 D5 D6 D7 D电路的接法如图A5.14所示。ABC DZA B CD三、试画出用三个二输入的“与非”门实现LAB的等效逻辑电路图。解:将表达式化成“与非一与非“表达式如下后,即可画出电路图。LABABABA_c&_B-HTlJ题4.2电路如图4.2(a)、(b)、(c)、(d)所示,试找出电路中的错误,并说明为什么。CsD(c图(a):电路中

29、多余输入端接“1”是错误的,或门有一个输入为1,输出即为1。图(b)图:电路中多余输入端接“0”电平是错误的,与门输入有一个为0,输出即为0。:电路中两个与门输出端并接是错误的,会烧坏器件。因为当两个与非门的输出电平不相等时,两个门的输出级形成了低阻通道,使得电流过大,从而烧坏器件。图(d):电路中两OC门输出端虽能并接,但它们没有外接电阻至电源,电路不会有任何输出电解:由题意知: Y输出为A, Y2输出为B, 丫3输出为AB , 丫4输出为ABo压,所以是错误的。根据OC门的线与功能,可以求得Y的逻辑函数:YAB-ABABABAB,该电路实现异或功能。题4.5CMOS门电路如图P4.5所示,

30、分析电路的功能,写出功能表,并画出相应的逻辑符号Vdd解:真值表见表A4.5所不。EAY1X高阻001010分析:E1时,TG截止,输出高阻态;E0时,TG导通,YA逻辑符号如图A4.5。X4fi-r- C& 加一& EfU(| k2Ihi+ 10V ffhlODkO伯iflIi解:(a)L1ABCDEFABCDEF是一个六输入的与非逻辑关系;(b) L2AB(CDE)ABCDE是一个六输入的或非逻辑关系;(c) L3ABCDE五输入与非逻辑关系;(d) L4ABCDEFABCDEF题4.14用增强型NMO篱构成的电路如图4.14所示。试写出F的逻辑表达式。一、选择题们满足时,与非门输出为低电

31、平。(a)只要有一个输入为高电平(b)所有输入都是高电平所有输入都是低电平2对于未使用的或非门输入,正确的处理方法是(a)连接到地(b)直接连接到Vcc(c)通过电阻连接到地3异或门的等效电路包含b。(a)两个或门、一个与门和两个非门(b)两个与门、一个或门和两个非门(c)两个与门和一个或门五、利用逻辑代数的基本公式和常用公式化简以下各式。m(i 0,1,2,4,5,6,7,14,15)i4ABC(AB)C5YA,B,C,D六、用卡诺图化简法化简以下逻辑函数1YABABCAB2YABABDACBCD七、用卡诺图化简法化简以下逻辑函数1YABCABCABCABC给定的约束条件为ABCABC02Y

32、ABCABCABCD给定的约束条件为AB0题2.5写出以下各式的反函数。1Y(ABC)CD4YABC(ABC)ABBCAC题2.6写出以下各式的对偶式。1YABCDE2Y(ABC)(ABCD)E题2.13化简以下逻辑函数方法不限。C1YABACCDD2Y(AB)D(ABBD)CACBDD五、4.C5.|ACBCAD六、1.YBAC2.YABAC、CDAB00011110000061、0100L11Cl11100000七、1YABCBC;2YACBC或YACAC或YBCBC或YBC题2.51.解:YA?(BC)CDACDy(ABc)-AbC(AB)(bc)(AC)4.解:(ABC)AbCABBC

33、AC=AbCABCABCABC题2.61.Y(AB)CDE2.Y(ABC)(ABCD)E)(ABC)(AB)(CD)EABC(AB)(CD)E题2.131.YABCD2.YABACD或YBCACD一、填空题1 .在时间和数值上都是连续变化的信号是模寸、_信号;在时间和数值上是离散和量化的信号数字信号。2 .表示逻辑函数常用的方法有4种,它们是真值表,逻辑函数式,逻辑图,卡诺图。)21.89;2. 10000.1011二、请完成以下题的进制转换1 .(1011001)2()102.(16.6875)10(题1.11写出以下BCD码对应的十进制数。1(010110010110)8421BCD2(0

34、1001000111)8421BCD答:596;247;2796一、填空题1.十进制数315转换为二进制数为。A.000110011001B,000100111011C.010010011101D,0100100101102 .8421BCD码(01010010)转换为十进制数为()。A.38B.82C.52D.283 .有一个8位D/A转换器,设它的满度输出电压为25.5V,当输入数字量为11101101时,输出电压为。A.12.5VB.12.7VC.23.7VD.25V4 .如果异步二进制计数器的触发器为10个,则计数状态有种。A.20B.200C.1000D,10245 .一片存储容量为

35、8K*4的只读存储器ROM芯片应该有条地址线。A.10B.11C.2D.136 .对于四位二进制计数器,初始状态为0000,经过100个脉冲后进入状态。A.0100B.0001C.0011D.10007 .以下说法正确的选项是()。A.双极型数字集成门电路是以场效应管为基本器件构成的集成电路;B.COMS集成门电路集成度高,但功耗较高;C. TTL逻辑门电路是以晶体管为基本器件构成的集成电路;D. TTL逻辑门电路和COMS集成门电路不能混合使用。8 .一个4位串行数据,输入4位移位寄存器,时钟脉冲频率为1KHZ,经过可以转换为4位并行数据输出。A.8msB.4msC.2msD.1ms9 .以

36、下逻辑代数基本运算关系式中不正确的选项是。A.A+A=AB.AA=AC.A+0=0D.A+1=110. 4分频电路是指计满个时钟脉冲CP后产生一个输出信号。A.2B.4C.6D.81 1.以下逻辑电路中为时序逻辑电路的是()。A.变量译码器B.加法器C.数码寄存器D.数据选择器2 2.N个触发器可以构成能寄存位二进制数码的寄存器。A.N-1B.NC.N+1D.2N13 .有一个与非门构成的基本RS锁存器,欲使该锁存器彳持原态即dn+11=d则输入信号应无。A.S=R=0B.S=R=1C.S=17R二0D.S=0,R=1一一14 .逻辑表达式A+BA+C=。A.AB+ACB.A+BCC.B+AC

37、D.C+AB15 .设FABCD则它的反函数是。A.ABCDB.(AB)(CD)C.(AB)(CD)D.AB.CD16 .最小项ABCD的逻辑相邻项是。A. ABCDB.ABCDC.ABCDD.ABCD17 .对于JK触发器,输入J=0,K=1,CP脉冲作用后,触发器的次态应为。A.0B.1C.dD.不确定18 .一个T触发器,在T=0时,加上时钟脉冲,则触发器。A,翻转B.置1C.保持原态D.置019 .比较两个一位二进制数A和B,当A=B时输出F=1,则F的表达式是。A.F=ABB. FABC.ABD.F=AOB20.二输入端或非门,其输入端为A、B,输出端为Y,则其表达式Y=。A.ABB

38、.ABC. ABD.A+B1 .构成组合逻辑电路的基本逻辑单元电路是。2 .表达A/D和D/A转换器的工作性能的技术指标,可采用和转换速度两个参数描述。3 .当七段显示译码器的输出为高电平有效时,应选用共J极数码管。4 .触发器异步输入端为低电平有效时,如果异步输入端Rd=1,Sd=0,则触发器直接置成状态。5 .数字电路中,常用的脉冲波形产生电路是器。6 .几个集电极开路与非门OC门输出端直接相连,配加负载电阻后实现功能。7 .对于D/A转换器,其转换位数越多,转换精度会越()。8 .假设用二进制代码对48个字符进行编码,则至少需要位二进制数。9 .一个逻辑函数,如果有n个变量,则有个最小项

39、。10 .十六路数据选择器,其选择控制输入端有个。三、分析计算题共32分1.八选一数据选择器74LS151的真值表如下表,图为由八选一数据选择器构成的组合逻辑电路,图中aa0、b1b0为两个二位二进制数,试列出电路的真值表,并说明其逻辑功能。10分14issYyLa1.A274LS151a0Aib1A0D0D1D2D3D4D5D6D7b0-IkxL-丁口_LU_2.写出以下图所示电路中各触发器的驱动方程、状态方程,画出其状态表、状态图、时序图,并且分析电路的功能。(16分)Q3Q2QiQoCP计数脉冲CR清零脉冲3.两相脉冲产生电路如以下图所示,试画出在CP作用下1、2的波形,并说明 1、2的

40、相位差。设各触发器的初始状态为0。JTTLnTLrLrL4:图示D/A转换器。已知R=20KQ,Vref=20V;当某位数为0,开关接地,为1时,开关接运放反相端。试求1Vo的输出范围;(2)当D3D2DiDo=111O时,Vo=?四、设计题1.举重比赛中有A、B、C三名裁判,A为主裁,当两名或两名以上裁判必须包括A在内认为运发动上举杠铃合格,才能认为成功。1要求列真值表用与非门电路设计该逻辑电路。2用74LS138芯片配合适当的门电路设计该逻辑电路。2.试利用四位同步二进制计数器74HCT161的清零计数功能设计一个24进制计数器。3.试利用555定时器产生一个周期性的矩形脉冲信号,使其高低

41、电平之比近似为7:3,振荡频率1234567891o1112131415161718192oBCCDDACBCBCBBBCAACDC3.阴;4.1;5.多谐振荡器;6.线与;7.高;8.6;为1kHz,画出实现电路图,标明参数关系。一、选择题二、填空题1.逻辑门电路;2.转换精度;9.2n;1o.4三、分析计算题1o分1.函数F表达式为:4分aoboa1b1Foooo1ooo1ooo1oooo111o1oooo1o1oo11ooo111o1oooo1oooo1oo1o1o1oo1o11o11oo111o1o111oo111112.们驱动方程:2分JoKoJ2Q1nQ;K2Q1nQ;J:37Sm

42、iDimoDom1D1m2D2iom6D6m?D7boa1aobbodao”boaoaba1bhboa。a1bla1bhm3D3mDmboaa0blbaa0blaoboabi计数脉冲序号Q3nQ2nQ1nQonQ3n+1Q2n+1Q1n+1Qon+1oooooooo11ooo1oo1o2oo1ooo113oo11o1oo4o1ooo1o15o1o1o11o6o11oo1117o1111ooo81ooo1oo191oo1ooooJ1QMK1nQoQ;QinQn函数真值表4分该电路可以实现两个二位二进制数是否相等的判定。2分n12将驱动方程带入JK触发器的特性方程,Q分K3QoJQnKQn得时序电

43、路的状态方程:4QoQ1n1Q;Q;Q1nQoQ;Q2n1Q1nQoQ2nQ;QoQnQ3n1Q;Q:Q;QnQ;Q;3画出状态表,设初态为Q3Q2QQo=oooo,代入状态方程进行计算,得状态表3分4画出状态图:2分5画出时序图:4分12345678910CP一IIII.IQ0I_II_II_II_II_|_6由状态图和时序图可以看出,该电路是一个十进制加法计数器。当D全为0时,Vo为0;当D全为1时,V0为-9.375,V。的输出范围0-18.75V;2当D3D2D1D0=1110时,v0201417.5V24四、设计题共27分12分1.合格-1;不合格-0;成功-1;不成功-0A0000

44、1111B00110011C01010101F00000111FABCABCABCABACAB?AC+5V8分2.首先两片161级联低位芯片的TC作为高位芯片的计数使能信号,构成256进制计数器。从0000状态开始计数,当输入第24个CP脉冲上升沿时,输出电路图如下。输出Q7Q6Q5Q4Q7Q6Q5Q4G1Y0Y1G2AY2G2BY3CY4138Y5BY6AY71-2广口=00011000,同过与非门译码后,反馈给两个芯片的Rd端一个清零信号,立即使Q7Q6Q5Q4Q7Q6Q5Q4返回00000000状态,接着Rd端的清零信号也随之消失,74HCT161重新从0000状态开始新的计数周期。这样就跳过了0001100111111111八个状态,获得了24进制计数器。74LS161RdETLDAbCDCPCPQaQbQcQd111II匚ETLDABCD74LS161RdICPQaQbQcQd3.tph:tpi(RiR2):R27:3Ri:R2=4:3RiR2itphtpl1.43R12R2C1.433R1C1000nr84Vcc73NE555C波形图略三、分析以下图所示逻辑电路的最简与或逻辑表达式。10分解:AB?CDF2ABCD,P3ABCDFF1P2P3AB?CDABCDABCDABCDABCDABCDABCDABCDABCD

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!