EDA课程设计任意波形发生器

上传人:一*** 文档编号:58415260 上传时间:2022-02-28 格式:DOC 页数:25 大小:361.96KB
收藏 版权申诉 举报 下载
EDA课程设计任意波形发生器_第1页
第1页 / 共25页
EDA课程设计任意波形发生器_第2页
第2页 / 共25页
EDA课程设计任意波形发生器_第3页
第3页 / 共25页
资源描述:

《EDA课程设计任意波形发生器》由会员分享,可在线阅读,更多相关《EDA课程设计任意波形发生器(25页珍藏版)》请在装配图网上搜索。

1、 目录第一章 EDA技术概述11.1 EDA技术的发展21.2 EDA技术的基本设计方法31.2.1 电路级设计31.2.2 系统级设计41.2.3数字系统设计模型及基本步骤61.3 数字系统设计81.3.1 数字系统设计概要81.3.2数字系统的设计模型81.3.3 数字系统的设计步骤9第二章任意波形产生器112.1任意波形信号发生器的概述:112.2发展趋势及应用122.3 任意波形产生器构成13第三章任意波形产生器的设计143.1设计的意义143.2 设计步骤及程序15心得体会19参考引脚配置20附录:下载板与主板主要器件连接关系22第一章 EDA技术概述EDA是电子设计自动化(Elec

2、tronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组

3、件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。1.1 EDA技术的发展 回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段。 (1) 七十年代为CAD阶段,这一阶段人们开始用计算机辅助进行IC版图编辑和PCB布局布 线,取代了手工操作,产生了计算机辅助设计的概念。 (2)八十年代为CAE阶段,与CAD相比,除了纯粹的图

4、形绘制功能外,又增加了电路功能设 计和结构设计,并且通过电气连接网络表将两者结合在一起,以实现工程设计,这就是计算机辅助 工程的概念。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分 析。 (3)九十年代为ESDA阶段。尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的 设计工作中彻底解放出来。在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千 差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。基于以上不足,人们开始 追求贯彻整个设计过程的自动化,这就是ESDA即电子系统设计自动化。 从目前的EDA技术来看,其发展趋势是政府重视

5、、使用普及、应用文泛、工具多样、软件功能强大。在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放 。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平沿很有限,需迎头赶上。1.2 ED

6、A技术的基本设计方法 EDA技术的每一次进步、都引起了设计层次上的一个飞跃,可以用图1说明。 物理级设计主要指IC版图设计,一般由半导体厂家完成,对电手工程师并没有太大的意义,因此本文重点介绍电路级设计和系统级设计。1.2.1 电路级设计 电路级设计工作流程如图2所示,电子工程师接受系统设计任务后,首先确定设计方案,同时要选择能实现该方案的合适 元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析、瞬态分析。系统在进行仿真时,必须要有元件模型库的支 持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主

7、要是检 验设计方案在功能方面的正确性。 仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作 PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检 验PCB板在实际工作环境中的可行性。 由此可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面地 了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。1.2.2 系统级设计 进入90年代以来,电子信息类产品的开发出现了两个明显的

8、特点:一是产品的复杂程 度加深,二是产品的上市时限紧迫。然而电路级设计本质上是基于门级描述的单层次设计,设计的所有工作(包括设计输入,仿真和分析,设计修改等)都是在基本逻辑门这一层次上进行的,显然 这种设计方法不能适应新的形势,为此引入了一种高层次的电子设计方法,也称为系统级的设计方法。 高层次设计是一种概念驱动式设计,设计人员无须通过门级原理图描述电路,而是 针对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动 的方式自动完成整个设计。这样,新的概念得以迅速有效的成为产品

9、,大大缩短了产品的研制周 期。不仅如此,高层次设计只是定义系统的行为特性,可以不涉及实现工艺,在厂家综合库的支持 下,利用综合优化工具可以将高层次描述转换成针对某种工艺优化的网表,工艺转化变得轻松容 易。具体的设计流程见图3。 高层次设计步骤如下:第一步: 按照自顶向下的设计方法进行系统划分。第二步: 输入VHDL代码,这是高层次设计中最为普遍的输入方式。此外,还可以采用图形输入 方式(框图,状态图等),这种输入方式具有直观、容易理解的优点。第三步:将以上的设计输入编译成标准的VHDL文件。对于大型设计,还要进行代码级的功能仿 真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要

10、花费数小时,在综合前 对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。第四步:利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次 描述转化为硬件电路的关键步骤。 综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的 厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不 涉及具体器件的硬件特性,较为粗略。一般设计,这一仿真步骤也可略去。第五步:利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底 层器件配置、逻辑分割、逻辑优化和布局布线。适配完成后,产

11、生多项设计结果:适配报告,包 括芯片内部资源利用情况,设计的布尔方程描述情况等;适配后的仿真模型;器件编程文件。 根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如时延 特性),所以仿真结果能比较精确地预期未来芯片的实际性能。如果仿真结果达不到设计要求,就 需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。第六步:将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。 如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。 1.2.3数字系统设计模型及基本步骤数字系统是指由若干数字电路和逻辑部

12、件构成的能够处理或传送、存储数字信息的设备数字系统通常可以分为三个部分,即系统接口、数据处理器和控制器。其中,系统接口是完成将物理量转化为数字量或将数字量转化为物理量的功能部件。例如键盘、打印机接口即是系统接口;数据处理器的逻辑功能可分解为若干个子处理单元,通常称为子系统,例如译码器、运算器等都可作为一个子系统;控制器接收外部输入信号,以及数据处理器反馈的信号,管理各个子系统的局部及整个系统按规定顺序工作。一般情况下,系统接口、数据处理器由组合电路时序电路构成;控制器由同步时序电路构成。数字系统结构框图如图4所示。图4 数字系统结构框图其中:控制器部分:是数字电子系统的核心部分。它由记录当前逻

13、辑状态的时序电路和进行逻辑运算的组合电路组成。根据控制器的外部输入信号、执行部分送回的反馈信号以及控制部分的当前状态控制逻辑运算的进程,并向执行部分和系统外部发送控制命令。数据处理器部分:由组合电路和时序电路组成。它接受控制命令,执行相应的动作。同时,还要将自身的状态反馈给摔制部分。逻辑功能可分解为若干个子处理单元,通常称为子系统,例如译码器、运算器等都可作为一个子系统。该部分的输入信号:控制部分的外部输入信号,作为控制部分的参数或控制;输出信号:由控制部分产生的送到外部的控制信号;反馈信号:由执行部分产生,反映执行部分状态的信号;输入数据:送到数字系统的待处理数据;输出数据:由数字系统处理过

14、的输出到外部的数据。时钟:为整个系统提供时钟、同步信号。输入接口电路:为系统的输入信号提供预处理功能。输出接口电路;输出系统的各类信号、信息。 由图4 可知,控制器接收外输入和处理器的各个子系统的反馈输人,然后综合为各种控制信号,分别控制各个子系统在定时信号到来时完成某种操作,并向外输出控制信号。 有没有控制器是区别功能部件(数字单元电路)和数字系统的标志。凡是有控制器,且能 按照一定程序进行数据处理的系统,不论其规模大小,均称之为数字系统:否则,只能是功能部件或是数字系统中的子系统。现在的数字系统设计已经逐渐向片上系统(System on Chip)发展。从芯片的功能和规模来将,一个芯片就是

15、一个完整的数字电子系统,也称之为系统芯片。在数字电子技术领域中,“系统芯片”的基本定义是:这种芯片含有一个或多个主要功能块(CPU核心,数字信号处理器核心和其他的专门处理功能模块)。它还含有其他功能块,如静态RAM、ROM、EPROM、闪存或动态RAM以及通用或专用I/O功能块。尽管如此,没有两种系统芯片是完全相同的。大多数系统芯片都经过功能调整,使之专门适合指定的用途。1.3 数字系统设计1.3.1 数字系统设计概要 日常生活中可以发现无数数字系统的例子,如自动播放器、CD播放机、电话系统、个人计算机以及视频游戏等。可以简单地将数字系统定义为仅用数字来“处理”信息以实现计算和操作的电子系统。

16、但是,数字系统中的数字来自于二进制计数系统,只有两个可能的值:0和2,即只使用0和1来完成所有的计算和操作任务。因此,数字系统必须实现如下功能:1) 将现实世界的信息转换成数字网络可以理解的二进制“语言”。2) 仅用数字0和1完成所要求的计算和操作。3) 将处理的结果一我们可以理解的方式返回给现实世界。1.3.2数字系统的设计模型 数字系统指的是交互式的、以离散形式表示的具有存储、传输、信息处理能力的逻辑子系统的集合。用于描述数字系统的模型有多种,各种模型描述数字系统的侧重点不同。下面介绍一种普遍采用的模型。这种模型根据数字系统的定义,将整个系统划分为两个模块或两个子系统:数据处理子系统和控制

17、子系统,如图5 所示 数据处理子系统主要完成数据的采集、存储、运算和传输。数据处理子系统主要由存储器、运算器、数据选择器等功能电路组成。数据处理子系统与外界进行数据交换,在控制子系统(或称控制器)发出的控制信号作用下,数据处理子系统将进行数据的存储和运算等操作。数据处理子系统将接收由控制器发出的控制信号,同时将自己的操作进程或操作结果作为条件信号传送给控制器。应当根据数字系统实现的功能或算法设计数据处理子系统。 控制子系统是执行数字系统算法的核心,具有记忆功能,因此控制子系统是时序系统。控制子系统由组合逻辑电路和触发器组成,与数据处理子系统共用时钟。控制子系统的输入信号是外部控制信号和由数据处

18、理子系统送来的条件信号,按照数字系统设计方案要求的算法流程,在时钟信号的控制下进行状态的转换,同时产生与状态和条件信号相对应的输出信号,该输出信号将控制数据处理子系统的具体操作。应当根据数字系统功能及数据处理子系统的需求设计控制子系统。 把数字系统划分成数据处理子系统和控制子系统进行设计,这只是一种手段,不是目的。它用来帮助设计者有层次地理解和处理问题,进而获得清晰、完整正确的电路图。因此,数字系统的划分应当遵循自然、易于理解的原则。 1.3.3 数字系统的设计步骤1系统任务分析数字系统设计中的第一步是明确系统的任务。在设计任务书中,可用各种方式提出对整个数字系统的逻辑要求,常用的方式有自然语

19、言、逻辑流程图、时序图或几种方法的结合。当系统较大或逻辑关系较复杂时,系统任务(逻辑要求)逻辑的表述和理解都不是一件容易的工作。所以,分析系统的任务必须细致、全面,不能有理解上的偏差和疏漏。2确定逻辑算法实现系统逻辑运算的方法称为逻辑算法,也简称为算法。一个数字系统的逻辑运算往往有多种算法,设计者的任务不但是要找出各种算法,还必须比较优劣,取长补短,从中确定最合理的一种。数字系统的算法是逻辑设计的基础,算法不同,则系统的结构也不同,算法的合理与否直接影响系统结构的合理性。确定算法是数字系统设计中最具创造性的一环,也是最难的一步。3建立系统及子系统模型当算法明确后,应根据算法构造系统的硬件框架(

20、也称为系统框图),将系统划分为若干个部分,各部分分别承担算法中不同的逻辑操作功能。如果某一部分的规模仍嫌大,则需进一步划分。划分后的各个部分应逻辑功能清楚,规模大小合适,便于进行电路级的设计4系统(或模块)逻辑描述当系统中各个子系统(指最低层子系统)和模块的逻辑功能和结构确定后,则需采用比较规范的形式来描述系统的逻辑功能。设计方案的描述方法可以有多种,常用的有方框图、流程图和描述语言等。对系统的逻辑描述可先采用较粗略的逻辑流程图,再将逻辑流程图逐步细化为详细逻辑流程图,最后将详细逻辑流程图表示成与硬件有对应关系的形式,为下一步的电路级设计提供依据。5逻辑电路级设计及系统仿真电路级设计是指选择合

21、理的器件和连接关系以实现系统逻辑要求。电路级设计的结果常采用两种方式来表达:电路图方式和硬件描述语言方式。EDA软件允许以这两种方式输入,以便作后续的处理。当电路设计完成后必须验证设计是否正确。在早期,只能通过搭试硬件电路才能得到设计的结果。目前,数字电路设计的EDA软件都具有仿真功能,先通过系统仿真,当系统仿真结果正确后再进行实际电路的测试。由EDA软件的验证结果十分接近实际结果,因此,可极大地提高电路设计的效率。6系统的物理实现物理实现是指用实际的器件实现数字系统的设计,用仪表测量设计的电路是否符合设计要求。现在的数字系统往往采用大规模和超大规模集成电路,由于器件集成度高、导线密集,故一般

22、在电路设计完成后即设计印刷电路板,在印刷电路板上组装电路进行测试。需要注意的是,印刷电路板本身的物理特性也会影响电路的逻辑关系。第二章任意波形产生器2.1任意波形信号发生器的概述:随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。采用EDA技术利用MAX+PLUSII软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的波形。2.2发展趋势及应用任意波形发生器的应用非常广泛,在原理上可仿真任意波形,

23、只要数字示波器或其它记录仪捕捉到的波形,任意波形发生器都可复制出,特别有用的是仿真单次偶发的信号,例如地震波形、汽车碰撞波形等等。任意波形发生器的发展趋势是更高取样率,更高分辨率和更大存储量,目前实时带宽超过1GHz的产品比较少,而且分辨率只有8位,不能满足快速发展的移动通信和高速网络的测量要求。与数字存储示波器相比,任意波形发生器的全面指标存在明显差距,前者的取样率达到20GS/s和带宽6GHz,后者的取样率是4.8GS/s和带宽2GHz。任意波形发生器首先要赶上数字存储示波器,然后再往前发展,因为在电路构成方面,任意波形发生器的核心部件是高速数/模转换器,它的工艺潜力还很大,显然缺少的是市

24、场需求。任意波形发生器在通信系统、测试系统等方面得到广泛应用。任意波形发生器的另一重要应用领域是低频,例如心电图、汽车点火、防撞气囊、医疗仪器等。2.3 任意波形产生器构成 上图为任意波形发生器的构成图,sel为控制波形输出 。 Kk为分频模块,与k步长调整波形输出的频率。输出8位数字信号经过D/A转换输出负波形,再经过1:1比例反向放大器输出正向波形,施密特触发电路输出方波然后经测频模块由数码管显示出频率。产生的波形如下:正弦波 三角波 特殊波形 方波CPLD系统的分析 分频系统 第三章任意波形产生器的设计3.1设计的意义信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛地应用。凡

25、是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量参数。可见,信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。它能够产生多种波形,如三角波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛地用途。例如,在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热

26、、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。本设计采用EDA来设计制作多功能信号发生器。该信号发生器可以产生正弦波、三角波、方波等波形。电路模块组成部分:3.2 设计程序及步骤1分频模块以下为分频模块(CT74161)的VHDL语言编程源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CT74161 ISPORT(clk : IN STD_LOGIC;clk_div2 : OUT

27、 STD_LOGIC;clk_div4 : OUT STD_LOGIC;clk_div8 : OUT STD_LOGIC;clk_div16 : OUT STD_LOGIC);END CT74161;ARCHITECTURE rtl OF CT74161 ISSIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk)BEGINIF (clkEVENT AND clk=1) THENIF(count=1111) THEN Count 0);ELSECount = count +1;END IF ;END IF ;END PROCES

28、S;clk_div2 = count(0);clk_div4 = count(1);clk_div8 = count(2);clk_div16 = count(3);END rtl;2频率选择模块以下为频率选择模块(tiaopin)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;entity tiaopin is port(s1,s0: in std_logic; a,b,c,d: in std_logic; y: out std_logic);end tiaopin;architecture one of tiaopin is sig

29、nal s: std_logic_vector(1 downto 0); signal y_temp: std_logic; begin sy_tempy_tempy_tempy_tempy=X; end case; end process; y=y_temp;end one;3波形选择模块以下为波形选择模块(decoder)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;entity DECODER is port(a0,a1,a2,s0,s1,s2:in std_logic; y0,y1,y2,y3,y4,y5,y6,y7:out

30、std_logic);end DECODER;architecture ymq of DECODER issignal a:std_logic_vector(2 downto 0);begin ay:=11111110; when001=y:=11111101; when010=y:=11111011; when011=y:=11110111; when100=y:=11101111; when101=y:=11011111; when110=y:=10111111; when111=y:=01111111; when others=y:=XXXXXXXX; end case; else y:

31、=11111111; end if; y0=y(0); y1=y(1); y2=y(2); y3=y(3); y4=y(4); y5=y(5); y6=y(6); y7=y(7); end process; end ymq;4.输出波形选择模块以下为输出波形模块(lpm)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lpm isport (s1,s2,s3:in std_logic; a,b,c,d,e,f,g,h:in std_logic_vector(7

32、 downto 0); z:out std_logic_vector(7 downto 0);end lpm;architecture one of lpm issignal s:std_logic_vector(2 downto 0);beginszzzzzzzznull ;end case;end process;end one;5.幅度控制模块以下为幅度控制模块(tiaofu)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;entity tiaofu is port(a,b,c: in std_logic; sel: out st

33、d_logic_vector(2 downto 0);end tiaofu;architecture three of tiaofu is begin sel=a&b&c;end three;心得体会 通过此次课程设计,使我更加扎实的掌握了有关EDA方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。我认为,在这学期的实验中,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方

34、法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都能变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。回顾起此课程设计,至今我仍感慨颇多,从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,

35、从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,但可喜的是最终都得到了解决。 实验过程中,也对团队精神的进行了考察,让我们在合作起来更加默契,在成功后一起体会喜悦的心情。果然是团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。参考引脚配置一参考引脚配置二附录:下载板与主板主要器件连接关系器件名称信c号名兼容器件名兼容信号F10K10MF7128Mcyclone电源正极VCCVCCVCC输出发光管L16/RS232D4/TX2528142L15D52427141L14/RS232WR/RX2325140L

36、13D72224139L12RAMD62122133输出发光管L1189C51WR1921132L10RD1820131L9ALE1718130数码管M4M4DM1-M8动态显示A1617129M4CB1116128M4BC1015127M4AD912126数码管M3M3DE811125M3CF710124M3BG69123M3ADP58122数码管M2M2DMS836121M2CMS7835120M2BMS6814114M2AMS58081113数码管M1M1DMS47980112M1CMS37879111M1BMS27778110M1AMS17677109小键盘V189C51P10/A87

37、576108V2/RAMP11/A97475107V3P12/A107374106V4P13/A117273105H1P14/A127169104H2P15/A137068103H3P16/A14696799小键盘H4/549P17/CLK646598发光管L889C51P07616497L7P06606396L6P05596194L5P04586091L4P03545885L3P02535784L2P01525568L1/549P00/CS515467电源VCC92256RAMVCCVCC扬声器SP272940开关K1RAM/VGAA0/RED283041开关K2A1/GREEN293142开关K3A2/BLUE303348开关K4A3/H-SYNC353449开关K5A4/V-SYNC363550开关K6A/DA5373651开关K7A6383752开关K8A7/DATA394053开关K9D0474154开关K10D1484455开关K11D2494556开关K12RAMD3504857开关K1344(I)4958开关K1484(I)5059开关K152(I)5160开关K1642(I)5261时钟信号CP218393CP14329225

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!