基于FPGA的液晶显示设计

上传人:抢*** 文档编号:57118511 上传时间:2022-02-23 格式:DOC 页数:37 大小:809KB
收藏 版权申诉 举报 下载
基于FPGA的液晶显示设计_第1页
第1页 / 共37页
基于FPGA的液晶显示设计_第2页
第2页 / 共37页
基于FPGA的液晶显示设计_第3页
第3页 / 共37页
资源描述:

《基于FPGA的液晶显示设计》由会员分享,可在线阅读,更多相关《基于FPGA的液晶显示设计(37页珍藏版)》请在装配图网上搜索。

1、北京化工大学北方学院毕业设计(论文)诚信申明本人申明:我所呈交的本科毕业设计(论文)是本人在导师指导下对四年专业知识而进行的研究工作及全面的总结。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中创新处不包含其他人已经发表或撰写过的研究成果,也不包含为获得北京化工大学或其它教育机构的学位或证书而已经使用过的材料。与我一同完成毕业设计(论文)的同学对本课题所做的任何贡献均已在文中做了明确的说明并表示了谢意。若有不实之处,本人承担一切相关责任。本人签名: 年 月 日基于FPGA的液晶显示设计赵良电子信息工程专业 信工0702班 学号070201057指导教师 李娜摘 要37随着LCD显

2、示技术的迅速发展,LCD显示屏得到了广泛的应用。目前很多工业控制领域采用单片机及其它外围芯片结合,来实现一些工业参数的控制与显示。但是现有LCD控制器大多与显示屏集成,显示形式受到一定限制。针对现有LCD显示存在的缺陷,实现了一种新的LCD显示控制的方案。该方案采用FPGA技术开发了LCD显示控制系统,设计出了LCD的显示驱动电路和控制电路,实现文字和图形的显示。设计中从LCD技术参数着手,通过对显示驱动系统结构与工作原理的研究, 设计出显示控制系统的框图及各功能模块的VHDL程序,通过单片机系统配 置FPGA芯片,控制LCD显示相应的汉字和图形。LCD显示控制系统由显示控制电路、显示驱动电路

3、和相关外围辅助电路组成。显示控制电路从电路中各个功能模块所需要的控制时序信号出发,通过对其工作过程的研究,设计出控制器、RAM控制器等各功能模块。显示驱动电路从LCD工作所需要的扫描时序信号出发,设计出时序发生电路等各功能模块。所有的fpga程序通过了Max+Plus-软件实现编译及仿真后,在实际的硬件中调试通过。FPGA技术与LCD显示技术的结合使得LCD显示控制系统的开发周期短,开发难度降低。设计的显示控制系统使用简单,实用性强,能够满足设计的要求。关键词:液晶显示,显示控制,显示驱动,现场可编程门阵Numbers and letters identification system des

4、ignAbstractThis article introduces the Numbers and letters recognition actual research background, theoretical significance, and this research work, and the paper with letters of extraction and digital identification of the basic principle and method is introduced, and MATLAB tool to achieve the mai

5、n function of digital recognition system. Character recognition key step is character image enhancement denoising, positioning segmentation and character recognition. This article probes into the three key steps are detailed studies.Experimental results show that based on matching and standard used

6、template recognition method dictionaries of digital library with letter is feasible, and has high recognition rate and good antinoise performance. In order to improve the recognition rate and reliability, in addition to enhance the ability of noise filter, but also by increasing knowledge.Numbers an

7、d letters to the recognition easy to generalize some related fields, such as car licence plate recognition, shipping box number identification, bills recognition, etc. With the development of research, and its application is also more and more widely.Key words: Character Recognition Feature Extracti

8、on Template Matching前 言FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的中和与布局快速地烧录至FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功

9、能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flipflop)或者其他更加完整的记忆块。基于以上原因,FPGA越来越广泛的被运用到各个领域。第1章 课题研究价值 第1.1节 选题背景本课题来源于广西自治区自然科学基金项目“基于智能控制、can现场总线的嵌入式微处理器控制系统的研究”。 本项目基于51系列单片机、嵌入式系统、智能控制、现场总线、实时控制操作系统、实时数据库、组态软件等的最新发展,对传统的PLC(Program mable Logic Controllor,即可编程序控制器)从电路组成到软件结构进行创新研究,使其具有PLC功能,又具

10、有工业PC组态软件功能,即能解决顺序控制问题,又能解决复杂控制问题,组成高性能低成本控制系统。本课题研究的内容是其中的一个子 题,即 “系统监控点阵液晶显示模块与触摸屏”这一部分的显示任务,完成从上位机接收图形和文字数据并显示在液晶显示屏上。显示器是人与机器沟通的重要界面,早期以显像管显示器为主,随着科技 不断进步,各种显示技术如两后春笋般诞生。近来由于液晶显示器具有轻薄短小,低耗电量、无辐射危险,平面直角显示,以及影像稳定不闪烁等优势,有 逐渐取代CRT显示器主流地位的趋势口。FPGA (即现场可编程逻辑门阵列)具有编程方便、集成度高、速度快、价格低、可重构性强等优点,应用广泛,市场潜力强;

11、LCD(即液晶显示器使用便利,适用范围广泛。目前很多工业控制领域采用单片机及其它外围芯片结合,来实现一些工业参数的控制与显示器。但是现有控制器大多与显示屏集成,显示内容受到一定限制。根据横向科研项目“基于智能控制、can现场总线的嵌入式微处理器控制系统的研究”,需要本文研究的核心就是用一片FPGA芯片来设计液晶显示控制系统,应用于该课题项目。FPGA技术与LCD技术的结合使用使得显示控制系统的设计难度降低,开发周期缩短。FPGA芯片的开发简单、 便利真正实现了“ CPU+RAM+FPGA”式的开发方式。设计者无需精通器件内部的复杂结构只需运用自己熟悉的输入工具,如原理图输入和高级行为语言进行设

12、计,转化为最终结构所需的格式。第1.2节 国内外的研究现状从二十世纪七十年代开始液晶作为一种显示媒体使用以来,随着液晶显示技术的不断完善和成熟使其应用日趋广泛 ,到目前己涉及微型电视、数码照相机、数码摄像机以及显示器等多个领域钔。在其经历了一段稳定、漫长的发展历程后,液晶产品已摒弃了以前那种简陋的单色设备形象。目前,它已在平面显示领域中占据了一个重要的地位,而且几乎是笔记本和掌上型电脑必备部分。1985年,自从世界第一台笔记本电脑诞生以来,LCD液晶显示屏就一直是笔记本电脑的标准显示设备,所以一谈到LCD必定会与笔记本电脑扯上关系。LCD显示器在笔记本电脑的发展历程中也发挥过不同的作用,但随着

13、液晶显示技术的不断进步,LCD在笔记本电脑市场占据多年的领先地位之后,具备平滑显示屏幕的LCD液晶显示器又开始逐步地进入桌面系统市场。笔记本电脑为了达到轻、薄、小等功能,率先采用LCD液晶面板作为显示器。发展至今,更多的电子产品都纷纷采用LCD作为显示面板(如移动电话、便携式电视、游戏机等),因而也令LCD产业得蓬勃的发展【 液晶显示器的关键部件是液晶板,其成本占液晶显示器总成本的70%,在液晶板产品中,较为先进的产品应属TFT-LCDmin即半导体薄膜晶体管液晶板), 1995年以前,TFT-LCD的应用主要集中在高档摄像机监视器、高档掌上游戏机和大屏幕投影 机等贵族化消费品中,这类产品不但

14、价格高 ,而且其显示模式也只是cga, 分辨率仅为320*200;伴随液晶技术的日益成熟, 1995年,液晶显示屏的基板尺寸达到300RAM400RAM, 实现了10英寸VGA模式,其分辨率也达到了640480; 1997年出现 了11.3英寸、12.1英寸的SVGA液晶显示器。其显示分辨率已达到了800600;目前15、17英寸的产品成为市场的主流产品,而显示分辨率也达到了12801024、16001200的SXGA水平。中国国内从二十世纪八十年代初就开始引进了LCD生产线,是目前世界上最大的LCD生产国。据不完全统计,目前全国引进和建立LCD生产线40多条, 有LCD配 套厂 30余 家,

15、 其中不乏TFT、lcd生 产线 。目前,我们在国 内市 场所 能见到的液晶 显示器的 面板性 能大致如下:亮 度150 300cd/m、对比度200 300、反应速度 25ms 50ms、 水平视角 120 160度 、 垂直视角 90 120度 ,上述指标基本能满足需求。但由于TFT-lcd液晶板 工作原理的自身因素,长久以来液晶板的视角、色饱和度、亮度及反应速度等方面的缺陷一直是困扰液晶显示器普及的问题。LCD的显示任务是由液晶显示模块来完成的。液晶显示模块是一种将液晶显示器件,连接件、集成电路、PCB线路板、背光源 、结构件装配在一起的组件。作为重要的人机 交互接口,广泛地应用于工业控

16、制设备、测量仪表等设备中。众多厂家生 产的 LCM种类繁多, 针对不同分辨率有各种型号的成品入市。 这些 成品 通常绑定一定规格的 液晶显示屏,当需要配置不同分辨率的显示屏时,需要同对重新购买 LCM。 LCD控制器是对LCM进行控制的电路。作为液晶显示模块的典型应用系统,通常由LCM模块、LCD控制器以及与LCD控制器相连的微处理器组成,如基于51系列单片机的液晶显示系统等。其中单片机的作用是将预先 存储的要显示的汉字或图形信息,发送到由液晶控制器内的数据存储器内,并由控制器控制显示相应的汉字或者图形随着电子技术的迅速发展,微处理器lcd显示器得到很大的发展,微处理器由传统8位单片机逐步发展

17、到现在 的16位微处理器和32位微处理器 ,lcd也由传统单种颜色发展成多级灰度和256色的STN-LCD, 以及能实现真彩显示的TFT-LCD。 由于微处理器和LCD显示器的发展,先前LCD控制器能满足现在应用系 统的要求,更换 不同规格的显示屏时需要更换液晶控制模块LCD。自1985年Xilinx公 司推出第一片FPGA至今,FPGA已经历了十几年的发展历史。在这十几年的发展过程中,以FPGA为代表的数字系统现场集成技术取得人的 发 展: 现 场 可编 程 逻 辑器 件 从 最初 的 个 可 利用门,发展到90年代的25万个可利用门,国际上现场可编程逻辑器件的著名厂商Altera公司、Xi

18、linx公司又陆续推出了数百万门的单片FPGA芯片,将现场可编程器件的集成度提高到一个新的水平。Altera公司主要有四个品种系列:胶合(glue)逻辑类的max,低价位的acex系列、高速flex系列、高密度的apex系列。 Altera公司还对FPGA的结构进行优化,提供更多的嵌入式RAM。新近推出的flex 10KE系列器件是以前的flex10K系列器件的增强型,该系列在结构上采用了与flex 10K系列相同的逻辑块,但片内嵌入式RAM是FLEX 10K系列的两倍,而且增加了一个双端口RAM,这对通信应用来说是一个重要的优势所在。Altera公司预计该系列器件可用于66MZ的工作频率,密

19、度范围为3万 -25万门,能 够用于66MZ的PCI和通信应用。Altera公司目前正积极倡导sopc。纵观现场可编程逻辑器件的发展历史,其之所以具有巨大的市场吸引力,根本在于:FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA越来越多地取代了 ASIC的市场,特别是对小批量、多品种的产品需求,使FPGA成为首选。第1.3节 本文研究的主要内1了解12864点阵型液晶显示器的显示原理。2掌握12864点阵型液晶显示器的接口设计。3利用12864点阵型液晶显示器来实现LCD广告字幕机的设计。利用12864点阵型液晶显示模块

20、设计一个可显示图形和中文字符的LCD广告字幕机。1基本要求:1) 能够显示图形和文字。2) 要求显示的图形或文字稳定、清晰无串扰。3) 在目测条件下LCD显示屏各点亮度均匀、充足。2提高要求4) 图形或文字显示有静止、移入移出等显示方式。5) 显示屏刷新频率要求达到85Hz。一.引言 LCD液晶显示器是 Liquid Crystal Display 的简称,LCD 的构造是在两片平行的玻璃当中放置液态的晶体,两片玻璃中间有许多垂直和水平的细小电线,透过通电与否来控制杆状水晶分子改变方向,将光线折射出来产生画面。比CRT要好的多,但是价钱较其它显示器贵。 FPGA是英文FieldProgramm

21、able Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投

22、片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和IO引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 二工作原理本设计所用的JM12864A是一种图形点阵液晶显示器,它主要由行驱动器、列驱动器及12864全点阵液晶显示器组成。可完成图形显示;也可以显示84个(1616点阵)汉字。112864点阵型液晶显示器的显示原理12864液晶显示屏共有12864点阵,即每行显示128点,每列显示64点。此种型号的液晶显示屏

23、以中间间隔平均划分为左屏和右屏分别显示,均为6464点阵,而且各自都有独立的片选信号控制选择。先显示左屏,左屏全部显示完后才能显示右屏。显示屏上的显示数据由显示数据随机存储器DDRAM提供。DDRAM每字节中的每1个bit,对应显示屏上的1个点。bit值为1,对应点显示,反之不显示。DDRAM与显示屏的对应位置如图1所示。每半屏显示数据共有512字节的DDRAM,分为8个数据页来管理,这些页对应显示屏从上到下编号为07页,每页64字节,涵盖半边显示屏的64行64列8bit点阵数据。向显示屏写数据实际上是向DDRAM中写数据,DDRAM不同页和不同列中的字节数据唯一对应显示屏一行的8个显示点。例

24、如,向DDRAM第0页的第0列写入数据00010100B,则显示屏左上角第0列的8个显示点只有从上往下的第3和5点显示。不同页和不同列DDRAM的寻址,通过左半屏和右半屏各自的页地址计数器和列地址计数器实现,因此对显示屏DDRAM写显示数据前,需要先设置页地址和列地址。 图1 12864液晶显示屏与内部RAM的对应关系212864液晶显示器的内部结构及外部引脚1)12864液晶显示器的内部结构12864液晶显示器的内部结构框图如图2。 图2 12864点阵型液晶显示器的内部结构框图IC1控制模块的左半屏,IC2控制模块的右半屏。IC3为行驱动器。IC1,IC2为列驱动器。IC1,IC2,IC3

25、含有如下主要功能器件。了解如下器件有利于对LCD模块的编程。a) 指令寄存器(IR)IR是用来寄存指令码,与数据寄存器寄存数据相对应.当D/I=1 时,在E信号下降沿的作用下,指令码写入IR。.b) 数据寄存器(DR)DR是用来寄存数据的,与指令寄存器寄存指令相对应.当D/I=1时,在E信号的下降沿作用下,图形显示数据写入DR,或在E信号高电平作用下由DR读到DB7DB0 数据总线.DR 和DDRAM之间的数据传输是模块内部自动执行的。 c) 状态寄存器有效数据位3位,用于记录“忙”信号标志位(BF),复位标志位(RST)以及开/关显示状态位(ON/OFF)。d) XY地址计数器XY地址计数器

26、是一个9位计数器。高三位是X地址计数器,低6位为Y地址计数器,XY地址计数器实际上是作为DDRAM的地址指针,X地址计数器为DDRAM的页指针,Y地址计数器为DDRAM的Y地址指针。X地址计数器是没有记数功能的,只能用指令设置。Y地址计数器具有循环记数功能,各显示数据写入后,Y地址自动加1,Y地址指针从0到63。e) 显示数据RAM(DDRAM)DDRAM是存贮图形显示数据的。DDRAM与地址和显示位置的关系见图1。f) Z地址计数器Z地址计数器是一个6位计数器,此计数器具备循环记数功能,它是用于显示行扫描同步。当一行扫描完成,此地址计数器自动加1,指向下一行扫描数据,RST复位后Z地址计数器

27、为0。Z地址计数器可以用指令DISPLAY START LINE 预置。因此,显示屏幕的起始行就由此指令控制,即DDRAM的数据从哪一行开始显示在屏幕的第一行。此模块的DDRAM共64行,屏幕可以循环滚动显示64行。2)12864液晶显示器的外部引脚 12864液晶显示模块共有20个引脚,包括8位双向数据线、6条控制线及电源线等。具体引脚功能见下表所示。管脚号管脚名称电平管脚功能描述1VSS0V电源地2VDD5.0V电源电压3V0-液晶显示器驱动电压4D/IH/LD/I=“H”,表示DB7DB0为显示数据D/I=“L”,表示DB7DB0为显示指令数据5R/WH/LR/W=“H”,E=“H”,数

28、据被读到DB7DB0R/W=“L”,E=“HL”, DB7DB0的数据被写到IR或DR6EH/L使能信号:R/W=“L”,E信号下降沿锁存DB7DB0 R/W=“H”,E=“H” DRAM数据读到DB7DB0(使能端,高电平有效)7DB0H/L数据线8DB1H/L数据线9DB2H/L数据线10DB3H/L数据线管脚号管脚名称电平管脚功能描述11DB4H/L数据线12DB5H/L数据线13DB6H/L数据线14DB7H/L数据线15CS1H/L左半屏片选信号,低电平有效16CS2H/L右半屏片选信号,低电平有效17RESETH/L复位信号,低电平复位18VEE-10VLCD驱动负电压19IED+

29、DC+5V背光板电源20IED-DC0V背光板电源312864液晶显示器的编程指令1) 显示开关控制(DISPLAY ON/OFF)代码R/W D/IDB7DB6DB5DB4DB3DB2DB1DB0形式 0 0 0 0 1 1 1 1 1 1设置屏幕显示开/关。D/I=1,开显示。D=0,关显示。不影响DDRAM中的内容。2) 设置显示起始行(DISPLAY START LINE) 代码R/W D/IDB7DB6DB5DB4DB3DB2DB1DB0形式 0 0 1 1 A5 A4 A3 A2 A1 A0前面在介绍Z地址计数器时已经描述了显示起始行是由Z地址计数器控制的。A5A0,6位地址自动送

30、入Z地址计数器,起始行的地址可以是063的任意一行。例如:选择A5A0是62,则起始行与DDRAM行的对应关系如下:DDRAM 行:62 63 0 1 2 3 28 29屏幕显示行: 1 2 3 4 5 6 31 323) 设置页地址(SET PAGE “X ADDRESS”)代码R/W D/IDB7DB6DB5DB4DB3DB2DB1DB0形式 0 0 1 0 1 1 1 A2 A1 A0所谓页地址就是DDRAM的行地址,8行为一页,模块共64行即8页,A2A0表示07页。读写数据对地址没有影响,页地址由本指令或RST信号改变复位后页地址为0。4) 设置Y地址(SET Y ADDRESS)

31、代码R/W D/IDB7DB6DB5DB4DB3DB2DB1DB0形式 0 0 0 1 A5 A4 A3 A2 A1 A0此指令的作用是将A5A0送入Y地址计数器,作为DDRAM的Y地址指针。在对DDRAM进行读写操作后,Y地址指针自动加1,指向下一个DDRAM单元。5) 读状态(STATUS READ)代码R/W D/IDB7DB6DB5DB4DB3DB2DB1DB0形式 1 0 BUSY 0ON/OFFRET 0 0 0 0 当R/W=1 D/I=0时,在E信号为“H”的作用下,状态分别输出到数据总线(DB7DB0)的相应位。BF:BF1,内部正在进行操作,BF0,空闲状态。ON/OFF:

32、ON/OFF1,表示显示打开,ON/OFF0,表示显示关闭。RST: RST=1表示内部正在初始化,此时组件不接受任何指令和数据。6) 写显示数据(WRITE DISPLAY DATE) 代码R/W D/IDB7DB6DB5DB4DB3DB2DB1DB0形式 0 1 D7 D6 D5 D4 D3 D2 D1 D0 D7D0为显示数据,此指令把D7D0写入相应的DDRAM单元,Y地址指针自动加1。7) 读显示数据(READ DISPLAY DATE) 代码R/W D/IDB7DB6DB5DB4DB3DB2DB1DB0形式 1 1 D7 D6 D5 D4 D3 D2 D1 D0此指令把DDRAM的

33、内容D7D0读到数据总线DB7DB0,Y地址指针自动加1。五、12864点阵型液晶显示器的接口电路设计通过前面对12864显示屏引脚功能的分析可以知道,该模块有一个整体的片选信号“E”,只有当该信号为高电平时,所有的电路才会有效。另外左右半屏各有一个选择信号CS1和CS2,CS1和CS2各自为低电平时,分别选中左半屏和右半屏。为了区分读写的是数据还是指令,还设置了一个数据/指令控制线D/I。根据这些原则,设计出接口电路如图3所示。 图3 液晶显示器的接口电路由于CS0的地址范围为280H283H,由接口电路的设计可得液晶屏的相关地址,如下表。操作A1A0端口地址向左半屏写指令00280H向右半

34、屏写指令10282H读/写左半屏数据01281H读/写右半屏数据11283H读状态寄存器00280H五、软件设计对12864的具体结构有了比较深入的了解,12864分左右两屏,像素点为128*64个像素点,行有128个像素点,列有64个像素点,行又设置为8页,在12864默认状态下中文字体都是16*16的大小,每个页包含8个像素行,所以要显示一个中文就需要2页;初始行的设定可以使得你要显示的字出现在任意你想要的位置。对液晶显示器的编程就是向DDRAM中写数据。在写DDRAM之前,需要先清除RAM,且左屏和右屏要分别进行清除。方法就是向RAM的所有单元写入0值。12864写驱动程序的时候需要写七

35、个指令分别是:“检忙”,“写指令”,“写数据”,“写显示开关”,“写页”,“写列”,“写初始行”。向LCD写显示数据的流程图如下: 液晶显示器的编程流程如下图:清左屏RAM左右展开显示写入LCD左屏数据写入LCD左屏数据清左屏RAM开始系统初始化NYNYYN将起始页地址存入BL页地址加1设置列地址显示RAM设置页地址LCD工作忙已显示64列页地址已设置8次结束六LCD的应用广告字幕机是用LCD输出不同的汉字和图形。要液晶显示器显示不同的图形或汉字,就是向DDRAM中写入不同的数据。根据前面所说的液晶显示屏与DDRAM的对应关系,可以构造不同的数据来显示不同的图形和汉字。七实验结果用VHDL语言

36、进行仿真后生成的波形如下图所示:生成的RTL电路图如下:结 论第一节 全文总结本设计根据实际应用的具体环境情况可以选择对应LCD,根据LCD的技术参数确定其显示时序,进而进行整个LCD显示控制系统的设计。设计中使用FPGA芯片,采用VHDL硬件描述语言,对显示屏系统的控制逻辑系统进行了优化设计。在设计电路结构时,充分考虑了编制控制程序的方便。设计中选用了51单片机作为微处理器,设计的逻辑控制系统结构适用于不同规格大小的显示屏。本设计利用FPGA技术进行LCD驱动电路和控制电路的设计,同时使用VHDL语言和MAX+PLUS。设计工具完成整个系统软件的开发和仿真,实现了显示控制系统的图形和汉字的显

37、示。显示控制系统采用模块化设计和自顶向下的设计方法,电路按照功能划分为不同的模块,具有良好的通用性。显示控制系统的控制核心部分包括显示驱动电路和显示控制电路,外围电路包括配置电路和电源辅助电路。整个系统使用简单,实用性强。本设计主要做了一下几方面的工作:(1)根据系统实际要求,设计整个LCD显示控制系统的框架,利用自项向下、由租到细的设计方法,对其进行功能划分, 将整个系统逐步分解为各个子系统和模块。(2)针对本设计的具体要求,研究LCD显示驱动电路 、显示控制电路的原理,确定出最适用于本系统的显示控制电路和显示驱动电路的方案。(3)采用VHDL语言和 MAX+PLUS软件对各个功能模块编程和

38、仿真,并将全部程序经单片机配置电路下载到FPGA芯片中。(4)选用适用于本设计的LCD显示屏和FPGA芯片 。(5)设计LCD显示控制系统的配置电路和辅助电源电路,与FPGA芯片结合组成整个显示系统,并通过了调试。第二节 展中现代社会信息技术和电子工业 和材料工业的高速发展,将为显示技术和显示工业提供更大的发展空间。随着EDA技术、制作工艺的提高和设计方法的改进,FPGA技术必将飞速发展。鉴于可编程逻辑器件的高密度、高速度、低成本等优点。可以毫不夸张地说,本世纪将是可编程逻辑器件的时代。开源理念使更多工程师设计师的智慧得以贯通融合,也必将促进FPGA技术的发展积应用。由于本人的时间和能力有限,

39、对于FPGA显示控制系统中的应用只是做了一些尝试性的探索和及其简单的应用工作,还存在很多不完善的地方,仍有许多方面有待进一步深入研究开发;(1)驱动芯片可以设计成通过行列驱动信号选择工作模式的形式。这样一块驱动芯片可以分别作为行驱动芯片或者列驱动芯片使用,可以降低功耗,减少交叉点,降低干扰。(2)显示控制电路的参数对温度的反应有一定的影响,还需要进一步研究,提高其精确度和稳定性,使之更加适用于各种环境温度系统和显示要求。源程序附件如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.S

40、TD_LOGIC_UNSIGNED.ALL;ENTITY LCD IS PORT( CLK: IN STD_LOGIC; RS,RW,CS1,CS2,E: OUT STD_LOGIC; ADDRESS: OUT STD_LOGIC_VECTOR(4 DOWNTO 0); Q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END; ARCHITECTURE BEHAV OF LCD IS TYPE STATES IS(ST0,ST1,ST2,ST3,ST4,ST5,ST6,ST7, ST8,ST9,ST10,ST11,ST12,ST13,ST14,ST15,ST16)

41、; -状态定意 SIGNAL PRE_STATE,NEXT_STATE:STATES; SIGNAL DATALOCK,EN,RST1:STD_LOGIC; SIGNAL ADDR:INTEGER RANGE 0 TO 65:=0; SIGNAL XPAGE:STD_LOGIC_VECTOR(7 DOWNTO 0):=10111000; SIGNAL YADDR:STD_LOGIC_VECTOR(7 DOWNTO 0):=01000000;variable i :INTEGER RANGE 0 TO 511:=0 ;TYPE STATUS IS ARRAY (0 TO 511 ) OF STD

42、_LOGIC_VECTOR(7 DOWNTO 0);CONSTANT WORD:STATUS:=(00010000),(00000100),(01100000),(00000100),(00000001),(11111111),(11000110),(00000000),(00110000),(00000000),(00000010), (00000000), (11100010), (00000111), (00100010), (00000010), (00100010), (00000010),(11100010), (00000111),(00000010), (01000000),

43、(00000010), (10000000),(11111110), (01111111),(00000010), (00000000), (00000010), (00000000),(00000000), (00000000), -北(00000100),(00000000),(00000100),(00000000),(11100100),(01111111),(00100100),(00000100),(00100100),(00000101),(01100100),(00000101),(10110100),(00000101),(00101111),(01111111),(0010

44、0100),(00000101),(10100100),(00000101),(01100100),(00000101),(00100100),(00100101),(00100100),(01000100),(11100110),(00111111),(00000100),(00000000),(00000000),(00000000), -京(00010000),(00000100),(00010010),(00000010),(10010010),(00000001),(01110010),(0000000000),(11111110),(11111111),(01010001),(00

45、000000),(10010001),(00000100),(00000000),(00000100),(00100010),(00000100),(11001100),(00000010),(0000000000),(00000010),(0000000000),(00000010),(11111111),(111111111),(00000000),(000000001),(00000000),(00000001),(000000000),(00000000), -化(00001000),(00000001),(00001000),(010000001),(10001000),(10000

46、000),(11111111),(01111111),(01001000),(00000000),(00101000),(01000000),(00000000),(010000000),(11001000),(00100000),(01001000),(00010011),(01001000),(00001100),(01111111),(00001100),(01001000),(00010010),(11001000),(001000001),(01001000),(01100000),(00001000),(00100000),(00000000),(00000000), -工,(00

47、000000),(00000000),(00000000),(00000000),(11111000),(00001111),(01001000),(00000100),(01001000),(00000100),(01001000),(00000100),(01001000),(00000100),(11111111),(00111111),(01001000),(01000100),(01001000),(01000100),(01001000),(01000100),(01001000),(01000100),(11111000),(01001111),(00000000),(01000

48、000),(00000000),(01110000),(00000000),(00000000),-大;(10000000),(00000000),(01000000),(00000000),(00110000),(00000000),(11111100),(01111111),(000111),(00000000),(00000101),(00000000),(01011000),(01111110),(10101000),(00100010),(10101001),(00100010),(10101110),(00100010),(10101010),(00100010),(1010100

49、0),(00100010),(01011000),(01111110),(00001000),(00000000),(00001000),(00000000),(00000000),(00000000),-学;(00010000),(0000100),(00010010),(00000010),(10010010),(00000001),(01110010),(00000000),(11111110),(11111111),(01010001),(00000000),(10010001),(00000100),(00000000),(00000100),(00100010),(00000100

50、),(11001100),(00000010),(00000000),(00000010),(00000000),(00000010),(11111111),(11111111),(00000000),(00000001),(00000000),(00000001),(00000000),(00000000),-北;(00000000),(00000000),(11100000),(00001111),(00010000),(00010000),(00001000),(00100000),(00001000),(00100000),(00010000),(00010000),(11100000

51、),(00001111),(00000000),(00000000),-“0”;(00000000),(00000000),(00001110),(00001111),(00010000),(00010001),(10001000),(00100000),(10001000),(00100000),(00011000),(00010001),(00000000),(00001110),(00000000),(00000000),-6(00000000),(00000000),(00000000),(00000100),(00001000),(00111000),(00110000),(0000

52、0000),(10000000),(01000000),(01000000),(01000000),(01000000),(01000000),(01000000),(11111110),(11111100),(01000000),(01000000),(01000100),(01111100),(01011000),(01100000),(01100000),(01000000),(00000000),(00000000),(00000001),(00000110),(00011100),(00011000),(11000000),(00111000),(00000111),(0000000

53、0),(00000000),(11111110),(00100100),(00100100),(00100100),(00100100),(11111111),(11111111),(00100100),(00100100),(00100100),(00100100),(11111110),(11111110),(00000000),(00000000),(00000000),(00000000),(00000000),(00000100),(11101100),(11111110),(00000011),(00000000),(00000000),(00000000),(00000000),

54、(11111111),(00000100),(00000100),(00000100),(00000100),(11111111),(11111111),(00000100),(10000100),(10000100),(00000100),(11111111),(11111111),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00

55、000000),(00000000),(00000000),(00000000),(00000001),(00000001),(00000000),(00000000),(00000001),(00000011),(00000001),(00000000),(00000000),(00000000),(00000000),/*方,0*/(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(10000000),(11000000),(01110000),(00011100)

56、,(00001110),(00010100),(00100000),(11000000),(10000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00100000),(00100000),(00010000),(00001000),(00001100),(00000110),(00000011),(00010001),(00010000),(00010000),(00010000),(11110000),(0

57、0010000),(00010000),(00010000),(00010001),(00001111),(00001010),(00000110),(10001100),(00001100),(00011100),(00001000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00000001),(00000101),(00011001),(11110001),(01100001),(00000001),(00000001),(11111111),(00000001),(0000

58、0001),(10000001),(01100001),(00111001),(00001101),(00000001),(00000001),(00000001),(10000000),(10000000),(00000000),(00000000),(00000000),(00000000),(00000001),(00000001),(00000001),(00000001),(00000001),(00000001),(00000000),(00000001),(00000001),(00000001),(00000000),(00000001),(00000001),(00000000),(00000001),(00000001),(00000001),(00000001),(00000001),(00000001),(00000000),(00000000),(00000000),(00000000),/*学,1*/(00000000),(000000

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!