毕业设计(论文)-基于FPGA的数字二进制解调模块的设计

上传人:na****u 文档编号:56965074 上传时间:2022-02-22 格式:DOCX 页数:50 大小:1.10MB
收藏 版权申诉 举报 下载
毕业设计(论文)-基于FPGA的数字二进制解调模块的设计_第1页
第1页 / 共50页
毕业设计(论文)-基于FPGA的数字二进制解调模块的设计_第2页
第2页 / 共50页
毕业设计(论文)-基于FPGA的数字二进制解调模块的设计_第3页
第3页 / 共50页
资源描述:

《毕业设计(论文)-基于FPGA的数字二进制解调模块的设计》由会员分享,可在线阅读,更多相关《毕业设计(论文)-基于FPGA的数字二进制解调模块的设计(50页珍藏版)》请在装配图网上搜索。

1、毕业设计(论文) 设计(论文)题目:基于FPGA的数字二进制解调模块的设计摘 要传统的通信系统大多数属于“硬件系统”,一种调制、解调技术必须有一套专用芯片与之配套,这在很大程度上限制了现代通信技术的发展。以通用的硬件平台为基础采用软件的方式来实现通信的功能在很大程度上打破了通信设备对硬件的过度依赖,同时也赋予通信设备更大的灵活性。可编程逻辑器件 FPGA 凭借其高速并行处理能力、灵活的可重构能力可在一套硬件设备上实现对多种调制、解调方式的兼容。本设计的核心器件FPGA均采用VHDL语言,在EDA开发环境Quartus II平台下实现三种调制信号2ASK、2FSK、2DPSK的解调。其中,用过零

2、检测法解调2ASK、2FSK信号,极性比较法解调2DPSK信号。经过时序仿真后,观察仿真波形可知是否满足设计要求以正确输出基带信号。【关键词】二进制幅移键控 二进制频移键控 二进制相对相移键控 甚高速集成电路硬件描述语言ABSTRACTMost traditional communication system belongs to the “hardware”, but a kind of modulation and demodulation technology must have a special chip to match, this is largely limits the de

3、velopment of modern communication technology. With the method of software which based on the general hardware platform to realize the communication breaks the communication device over-reliance on hardware largely, but also make communications equipment more flexible. Programmable logic device FPGA

4、with its high-speed parallel processing capabilities, flexible reconfigurable ability to achieve a variety of modulation and demodulation method on a set of compatible hardware devices. The core device FPGA of this design adopts VHDL language, in the platform Quartus II of EDAs development environme

5、nt to realize the demodulation of three modulation signal 2ASK, 2FSK, 2DPSK. Among them, the zero detection to realize the demodulation of 2ASK,2FSK signal, polarity comparison to realize the demodulation of 2DPSK signal. After timing simulation, observe the simulation waveform shows whether meet th

6、e design requirements to output the baseband signal correctly.【Key words】2ASK 2FSK 2DPSK VHDL毕业设计(论文)目 录前 言1第一章 概述3第一节 课题背景与研究现状3一、数字调制解调背景知识3二、FPGA背景知识4第二节 EDA技术简介5一、Quartus II简介5二、VHDL简介7第三节 课题的主要研究工作8第四节 本章小结8第二章 2ASK解调模块的设计9第一节 2ASK解调原理9一、过零检测法9第二节 基于VHDL的2ASK解调器的建模10一、2ASK解调器的建模原理10二、2ASK解调器的建模

7、设计11三、2ASK解调的VHDL程序仿真及分析12第三节 本章小结13第三章 2FSK解调模块的设计14第一节 2FSK解调原理14一、2FSK解调方法14二、过零检测法14第二节 基于VHDL的2FSK解调器的建模15一、2FSK解调器的建模原理15二、2FSK解调器的建模设计16三、2FSK解调的VHDL程序仿真及分析17第三节 本章小结18第四章 2DPSK解调模块的设计19第一节 2DPSK解调原理19一、二进制绝对相移键控和相对相移键控19二、2DPSK解调方法19第二节 基于VHDL的2DPSK解调器的建模21一、2DPSK解调器的建模原理21二、2DPSK解调器的建模设计21三

8、、2DPSK解调VHDL程序仿真及分析23第三节 本章小结25结 论27致 谢28参考文献29附 录31一、英文原文:31二、英文翻译:38三、源程序:44- II -本科毕业设计(论文)前 言调制是将各种基带信号转换成适于信道传输的调制信号,就是用基带信号去控制载波信号的某个或某几个参量的变化,将信息荷载在其上形成已调信号传输,解调是调制的反过程,通过具体的方法从已调信号的参量变化中恢复出原始基带信号。调制技术分为模拟调制技术与数字调制技术,其主要区别是:模拟调制是对载波信号的某些参量进行连续调制,在接收端对载波信号的调制参量连续估值。而数字调制是用载波信号的某些离散状态来表征所传送信息,在

9、接收端只对载波信号的离散调制参量进行检测。与模拟调制系统中的调幅、调频和调相相对应,数字调制系统中也有幅度键控(ASK)、频移键控(FSK)和相移键控(PSK)三种方式,其中相移键控调制方式具有抗噪声能力强、占用频带窄的特点。1数字调制的优点是抗干扰能力强,中继时噪声及色散的影响不积累,因此可实现长距离传输。在信息高速发展的今天,人们越来越离不开数字信息,数字通信也越来越重要。由于信道资源的紧张与人们越来越希望更快的通信速度与更好通信质量的要求的矛盾,将来必然还要寻找更加好的调制技术,它要求功率效率高,频带利用率高,并且易于实现,节能,低碳环保。激光调制通信、卫星通信、非恒包络调制等都是研究方

10、向。在传统的数字通信系统中,接收机的解调单元都是用模拟处理方法和器件实现的。其中,共同之处在于使用了模拟滤波器、鉴相器(乘法器)和压控振荡器(VCO)。这种传统的模拟解调单元电路体积大、形式复杂;调试周期长而且受人为因素影响大;器件内部噪声大,易受环境影响,可靠性差。因此,这种传统的接收机不能完全发挥数字通信的优势,不能实现数字信号处理的最佳接收。随着VLSI(Very Large Scale IC,超大规模集成电路)技术和工艺的进步,数字集成电路的复杂度和功能达到了前所未有的高度,以专用集成电路(ASIC)、数字信号处理器(DSP)和现场可编程逻辑门阵列(FPGA)为代表的IC,已经在工业生

11、产中得到了大规模的应用。在技术和工艺进步的基础上,数字通信中解调算法的实现已不再是一件可望不可及的事情。可以说,无论是通信系统的内在要求(即算法复杂性决定接收的质量),还是外在条件(技术和工艺)都在促使通信接收的解调向数字化发展。但数字解调并不是简单的将模拟解调中的器件全部数字化,它具有以下的特点: 电路结构简单,易于调试; 可以使用复杂的算法,从而实现最佳的接收; 便于计算机辅助设计,实现电子设计自动化(EDA); 易于集成和大规模生产,价格低廉。2基于以上优点,数字解调得到了足够重视与飞速发展。针对传统用硬件实现数字调制解调的方法,特别是相干解调需要提取载波,设备相对复杂、成本较高的特点,

12、研究了基于FPGA的调制解调系统,即通过Quartus II软件,采用VHDL硬件描述语言设计并实现了2ASK,2FSK,2DPSK信号的解调器。第一章 概述第一节 课题背景与研究现状一、数字调制解调背景知识如今社会通信技术的发展速度可谓日新月异,计算机的出现在现代通信技术的各种媒体中占有独特的地位,计算机在当今社会的众多领域里不仅为各种信息处理设备所使用,而且它与通信相结合,使电信业务更加丰富。随着人类经济和文化的发展,人们对通信技术性能的需求也越来越迫切,从而又推动了通信科学的发展。在通信理论上,先后形成了“过滤和预测理论”、“香浓信息论”、“纠错编码理论”、“信源统计特性理论”、“调制理

13、论”等。通信作为社会的基本设施和必要条件,引起的世界各国的广泛关注,通信的目的就是从一方向另一方传送消息,给对方以信息,但是消息的传送一般都不是直接的,它必须借助于一定形式的信号才能便于远距离快速传输和进行各种处理。3虽然基带信号可以直接传输,但是目前大多数信道不适合传输基带信号。现有通信网的主体为传输模拟信号而设计的,基带数字信号不能直接进入这样的通信网。基带信号一般都包含有较低的频率,甚至是直流的分量,很难通过有限尺寸的天线得到有效辐射,因而无法利用无线信道来直接传播。对于大量有线信道,由于线路中多半串接有电容器或并接有变压器等隔直流元件,低频或直流分量就会受到很大限制。因此,为了使基带信

14、号能利用这些信道进行传输,必须使代表信息的原始信号经过一种变换得到另一种新信号,这种变换就是调制。数字调制传输在现代通信中发挥着越来越重要的作用,主要是因为数字通信有以下优点: 数字信号便于存储、处理、抗干扰能力强; 数字信号便于交换和传输; 可靠性高,传输过程中的差错可以设法控制; 数字信号易于加密且保密性强; 通用性和灵活性好。1 经过调制后,各路信号可已搬移到更高的、不重叠的频段去传输,从而避免了多路传输中的相互干扰。解调是调制的反过程,和调制一样,解调在通信系统中也起着相当重要的作用,其重要性主要体现在:如何从带有噪声干扰和畸变的信道中输出信号,恢复出原来的基带信号。本设计中2ASK、

15、2FSK的解调采用的过零检测法原理简单,计算方便。2DPSK采用的极性比较法较相位比较法性能更优,相位比较法的两路相乘信号均有噪声,而极性比较法的本地载波没有噪声,不过,极性比较法需要从接收信号中提取相干载波,所以实现较复杂。二进制数字调制的基带信号只有两种状态即1、0或+1、1。随着数字通信的发展,对频带利用率的要求不断提高,为了进一步提高系统的频带利用率,高速数字调制,多进制数字调制系统获得了越来越广泛的应用。在多进制系统中,一位多进制符号将代表若干位二进制符号。在相同的传码率条件下,多进制数字系统的传输速率高于二进制数字系统的传输速率。在二进制数字系统中,随着传码率的提高,所需信道带宽增

16、加,采用多进制可降低码元速率和减小信道带宽,同时,加大码元宽度可增加码元能量,有利于提高通信系统的可靠性。用M进制数字基带信号调制载波的幅度、频率、相位,可分别产生MASK、MFSK、MPSK三种多进制调制信号。多进制数字调制解调的发展,必定会有力地推进通信、数字技术等各个领域的进步。二、 FPGA背景知识FPGA(FieldProgrammable Gate Array,现场可编程门阵列)是在专用ASIC(Application Specific Integrated Circuit,专用集成电路)的基础上发展起来的,它克服了专用ASIC不够灵活的缺点。与其他中小规模集成电路相比,FPGA的

17、优点主要在于它有很强的灵活性,即其内部的具体逻辑功能可以根据需要配置,对电路的修改和维护也很方便。随着VLSI工艺的不断提高,FPGA器件的集成度和运行速度都在高速增长。目前,FPGA的容量已经跨过了百万门级,为了满足设计需求,以可编程门阵列FPGA为代表的器件得到了广泛的应用。与其他通用DSP(Digital Signal Processing,数字信号处理)相比,FPGA在处理方式上和设计编程上有很大的区别,它更强调数据的平行处理和流水线处理并且有更强的灵活性和可编程性,所以FPGA在定点数据处理方面有更大的优势。基于FPGA的数字调制解调器与模拟电路调制解调器相比,具有功耗低、结构简单、

18、性能优越等优点,故在实际工程中得到了广泛的应用4。第二节 EDA技术简介一、Quartus II简介Quartus II是Altera公司继MAXPLUS II后,所提供的FPGA/CPLD开发集成环境,主要针对该公司新器件和大规模FPGA的开发。Quartus II除了保留有MAXPLUS II的特色外,也可以利用第三方的综合工具,如Synopsys、NativeLink、ModelSim等。设计者可以通过传统原理图输入法(GDF)或硬件描述语言(VHDL)设计一个数字系统,通过软件仿真我们可以事先验证设计正确性,在PCB完成后还可以利用CPLD的在线修改能力随时修改设计而不必改动硬件电路。

19、电路设计与输入是指通过某些规范的描述方式,将工程师电路构思输入EDA(Electronic Design Automation,电子设计自动化)工具。常用的设计方法有硬件描述语言(HDL)和原理图设计输入方法等。原理图设计输入法根据设计要求,选用器件、绘制原理图、完成输入过程。其优点是直观、便于理解、元器件库资源丰富。但是在大型设计中,这种方法的可维护性较差,不利于模块构造与重用。更主要的缺点是当所选用芯片升级换代后,所有的原理图都要做相应的改动。所以,目前进行大型工程设计时,最常用的设计方法是HDL设计输入法,其中影响最为广泛的HDL语言是VHDL和Verilog。他们的共同特点是采用由顶向

20、下的设计方法,利于模块的划分与复用,使之具有可移植性好、通用性好的优点,而且其设计不因芯片的工艺或结构不同而变化,更利于向ASIC的移植。1、Quartues II的主要设计流程Quartus II可以使设计者完成设计输入、分析与综合、仿真、布局布线、时序分析及编程下载等工作。Quartus II支持多种编辑输入法,包括图形编辑输入法,VHDL、Verilog和AHDL的文本编辑输入法,符号编辑输入法以及内存编辑输入法。Quartus II的设计流程与过去传统意义的电子设计大不相同。尤其表现在:传统设计是自底向上的设计,合格产品的设计总要反复多次试验,次数主要取决于经验,而且必须制成成品才能进

21、行仪器测量。而Quartus II采用的是自顶向下的设计,缩减了设计成本,缩短了设计周期,更接近于常规思维方式,标准产品方便测试,对设计者经验要求低,保密性强,集成度高。图2.1显示了使用Quartus II进行设计的各主要环节。设计输入分析与综合布局布线时序分析引脚锁定及下载功能仿真时序仿真全编译图1.1 Quartus II主要设计环节(1)设计输入:设计输入包括图形输入和硬件描述语言(HDL)文本输入两大类型。HDL设计方式是现今设计大规模数字集成电路的常用形式。HDL语言描述在状态机、控制逻辑、总线功能方面较强;而原理图输入在顶层设计、数据通路逻辑等方面具有图形化强、功能明确等特点。Q

22、uartus II支持层次化设计,可以在一个新的输入编辑环境中调用不同输入设计方式完成的模块,从而完成混合输入设计以发挥二者各自特色。本设计采用VHDL输入方式。(2)分析与综合:完成设计输入之后,即可对其进行分析与综合。其中先进行语法的分析与校正,然后依据逻辑设计的描述和各种约束条件进行编译、优化、转换和综合。最终获得门级电路甚至更底层的电路网表描述文件。因此,综合就是将电路的高级语言(如行为描述)转换成低级的,可与FPGA/CPLD的基本结构相映射的网表文件或程序。(3)仿真:仿真包括功能仿真和时序仿真。进行功能仿真,即直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解

23、其实现的功能是否满足原设计的要求,仿真过程没有加入时序信息,不涉及具体器件的硬件特性。而时序仿真是接近真实器件运行特性的仿真,仿真精度高。本设计采用时序仿真。(4)布局布线:若功能仿真结果满足逻辑设计,则可执行布局布线。它的目的是将综合后产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件。(5)时序分析:Quartus II中的时序分析功能可以分析设计中所有逻辑的性能,并协助引导适配器满足设计中的时序分析要求。(6)引脚锁定及下载:为了对设计工程进行硬件测试,应将其输入输出信号锁定在芯片确定的引脚上,然后将下载或配置文件通过编程电缆向FPGA或CPLD进行下载,以便进行硬件调试和验证

24、。二、VHDL简介VHDL(Very-High-Speed Integrated Circuit Hardware Description Language,甚高速集成电路硬件描述语言)诞生于1982年。1987年底,IEEE将VHDL替代了原有的非标准的硬件描述语言,并被美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构、行为、功能和接口。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分)。在对一个设计实体定义了外部界面后,一旦其内部开发完成,其他的设计就可以直接调用这个实体

25、。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。5VHDL语言的基本结构:一个完整的VHDL语言程序通常包括实体声明(Entity Declaration)、结构体(Architecture Body)、配置(Configuration)、程序包(Package)和库(Library)五个部分,其中实体和结构体是不可缺少的。实体用于描述设计系统的外部端口输入、输出特征;结构体用于描述系统内部的结构和行为,即用于描述设计系统的行为、系统数据的流程和系统内部的结构及其实现的功能;配置为属性选项,描述层与层之间、实体与结构体之间的连接关系;程序包为属性选项,用于把共享的定义放置其中,具

26、体地说主要用来存放各种设计的模块都能共享的数据类型、常量和子程序等;库主要用于存放已经编译的实体、结构体、程序包和配置,可由用户自主生成或由ASIC芯片制造商提供,以便于设计中为大家所共享。VHDL的主要优点: 覆盖面广,有强大的系统硬件描述能力; 可读性好、易于修改; 独立于器件的设计,与工艺无关; 易于移植和设计资源共享。第三节 课题的主要研究工作课题主要研究2ASK,2FSK,2DPSK信号解调系统的实现,完成对数字信号的解调,在简化系统的前提下,根据系统的总体功能与特点,设计总体框图,根据VHDL语言的特点,在EDA开发软件Quartus II上建模并进行具体语言设计,对程序进行编译与

27、波形仿真,并对仿真波形进行分析,完成解调任务。其中三种数字信号的解调方法不完全相同。2ASK、2FSK解调采用过零检测法,2DPSK解调采用极性比较法。第四节 本章小结本章主要分两部分内容,第一部分是关于本设计的课题背景与研究现状,简要介绍了数字调制解调与FPGA的相关概念和发展历程以及主要优点。第二部分是关于EDA的简介,介绍了EDA的概念,Quartus II的主要设计流程,VHDL硬件描述语言的基本结构和主要优点。第二章 2ASK解调模块的设计第一节 2ASK解调原理二进制幅移键控ASK信号是利用二进制数字基带脉冲序列中的“1”、“0”码去控制载波输出的有或无得到的。对单极性不归零的矩形

28、脉冲序列而言,“1”码打开通路,送出载波;“0”码关闭通路,输出零电平,所以又称为通-断键控OOK(on-off Keying)。6二进制幅移键控信号的解调,可以用相干解调或包络检波(非相干解调)实现。针对2ASK信号有载波和无载波分别对应基带信号“1”和“0”的特点,提出了基于过零检测法的ASK信号解调方法。一、过零检测法从信道传送过来的信号,都有不同程度的衰减,而且呈现出随机性,为了提高抗干扰性或者去掉部分噪声,可以通过将信号与零电平相比较,对幅度受到干扰或者衰减的信号进行“整形”,以得到幅度一致的信号。过零检测就是对被干扰或者衰减的信号进行“整形”,具体地说,就是把信号与零相比较,进而使

29、其变为两种形式的信号,要么等于“+1”,要么等于“-1”7。ASK过零检测法框图如图2.1所示:放大整形微分整流低通滤波抽样判决带通滤波图2.1 ASK过零检测法框图图2.1中,ASK信号通过带通滤波器后,被过滤掉了带外噪声;然后经过放大“整形”,变成方波波形;再经过整流、脉冲展宽电路,得到宽度较宽的脉冲;经过低通滤波处理后,最后经过抽样判决变为数字基带信号。(1)放大“整形”放大“整形”电路可以减弱信道的各种衰落和噪声影响,把信号从正弦波转换为方波或者准方波。“整形”后的信号波形如图2.2(a)所示:图2.2 放大整形后的信号(2)微分“整流”微分电路可以把方波变为脉冲,得到与频率成正比的脉

30、冲,如图2.2(b)所示;“整流”部分把负脉冲变成正脉冲,如图2.2(c)所示。此模块不仅把连续的方波变成脉冲,还可以减小噪声的影响。(3)低通滤波低通滤波器的带宽至少等于基带信号的带宽,允许基带信号完整通过。抽样判决电路与其他数字电路一样,抽样脉冲要保持与码元的速度相同。第二节 基于VHDL的2ASK解调器的建模一、2ASK解调器的建模原理2ASK解调方框图如图2.3所示,2ASK解调电路的VHDL建模符号如图2.4所示。图中没有包含模拟电路部分,调制信号为数字信号形式。解调器包括分频器、计数器、寄存器和判决器。假设时钟信号与发端时钟同步且输入调制信号为数字信号。clk为时钟信号,控制分频器

31、。start为同步信号,当start为高电平时开始解调。由于要计算调制信号一个周期内的过零点次数,所以分频器q的功能是:计算调制信号的周期;寄存器xx的功能是:在时钟的上升沿到来时把2ASK信号存入寄存器;根据过零检测法原理,通过检测一个调制信号周期内的过零点次数来判决基带信号,由于上升沿的个数就相当于ASK信号过零点的个数,所以计数器m的主要功能就是:在调制信号的一个周期内,对寄存器中信号的上升沿进行计数;判决器的功能是:在调制信号的一个周期内,对计数器m的值进行判决。判决门限值可以根据载波信号和基带信号的频率的比值决定,如 f载:f基=6:1,则判决门限值可以选择m=6,这样通过判决器就可

32、以恢复出原始的基带信号,判决器的门限也可以选m3,选m3的好处是使得解调器有一定的容错能力,能更好的解调出基带信号。2ASK信号startclk分频器q寄存器xx计数器m判决器基带信号图2.3 2ASK解调方框图图2.4 2ASK解调的VHDL建模符号二、2ASK解调器的建模设计调制部分:调制信号是由基带信号和载波相乘得到,假设基带信号是通过clk的12分频得到的10110010;载波信号是通过clk的2分频得到的,这样一个基带码元里就有6个载波信号。解调部分:通过对已调信号进行脉冲计数判决,前面说了基带信号是通过clk信号的12分频得到的,那么其码元周期就是clk的12倍,首先对clk进行脉

33、冲计数并设为q,那么0=q3时判为1,否则判为0。三、2ASK解调的VHDL程序仿真及分析2ASK解调仿真图如图2.5所示:图2.5(a) 2ASK解调仿真图图2.5(b) 2ASK解调仿真局部放大图clk为时钟信号,start为同步信号,x为输入2ASK信号,y为程序解调出的基带信号。q为分频器,m为计数器,xx为寄存器。当同步信号start为高电平时,进行2ASK解调。分析2ASK解调仿真局部放大图(b)可知,当同步信号start为高电平时,程序开始进行解调。当q=11时,m清零;当q=10时,根据m的大小,进行对输出基带信号y的电平的判决;在q为其他值时,计数器m计xx(x信号的寄存器)

34、的脉冲数。在clk的上升沿时寄存器寄存输入的2ASK信号,寄存器xx滞后输入信号x一个clk(进程中信号的赋值是在进程的最后才进行,且有延时8)。如图设置的clk时钟脉冲周期是20ns,那么它的12分频信号周期是240ns,即基带信号码元周期是240ns,经过二分频的载波周期是40ns,那么一个码元周期内有6个载波,调制时基带信号为1时有载波信号,且一个码元有6个周期载波,基带信号为0时调制信号为0,很容易的我们知道调制信号有载波时判决为1,调制信号无载波时判决为0,由于在分频器q=10的地方进行判决,所以输出信号会延时输入信号10个clk,由图(a)中输出信号y波形可看出其输出信号为1011

35、0010,与调制时的基带信号相同。所以程序在一定的、合理的延时内,正确解调出了基带信号,满足设计要求,解调成功。第三节 本章小结本章主要介绍了基于FPGA的2ASK信号的解调模块的设计,首先简要介绍了2ASK信号的概念和解调原理以及主要采用的过零检测法。然后介绍了基于VHDL的2ASK解调器的建模和设计,并给出建模方框图,对各部分功能进行了叙述,最后给出时序仿真波形并对其进行了分析。第三章 2FSK解调模块的设计第一节 2FSK解调原理一、2FSK解调方法频移键控信号的解调方法有多种,如相干(同步)解调法、鉴频法、过零检测法及差分检波法等。9由于过零检测法用VHDL语言实现相对容易,且对于数字

36、信号来说,过零检测法较其他三种分析方法更简单,因此我们决定用过零检测法来实现FSK信号的解调。二、过零检测法过零检测法与其他解调方法相比较最明显的特点就是结构简单,易于实现,对增益起伏不敏感,特别适用于数字化实现,他是一种经济、实用的最佳数字解调方法。他利用信号波形在单位时间内与零电平轴交叉的次数来测定信号频率。输入的已调信号经限幅放大后成为矩形脉冲波;再经微分电路得到双向尖脉冲;然后整流得到单向尖脉冲,每个尖脉冲表示信号的一个过零点,尖脉冲的重复频率就是信号频率的 2 倍;将尖脉冲去触发一单稳态电路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率成正比,即与输入频率信号成正比。所

37、以经过低通滤波器输出平均量的变化反映了输入信号的变化,恢复出了数字基带信号。10过零检测法的基本思想是:单位时间内信号经过零点的次数,可以用来衡量频率的高低,故检出数字调频信号的过零点数即可得到相应的载波频率值,根据已知的载波频率确定基带信号。11具体的2FSK过零检测法方框图及波形如图3.1所示。假设调制信号是经过数模转换后的方波信号。同步信号start启动系统的解调工作。框图中限幅、微分、整流、脉冲形成、低通滤波器的作用已在ASK解调的过零检测法中给出,这里不再赘述。图3.1 2FSK过零检测法方框图及波形图中,a为模拟调制信号,b为经过限幅放大后的矩形脉冲波,c为经微分电路得到的双向尖脉

38、冲,d为经整流得到的单向尖脉冲,e为用尖脉冲d去触发一单稳态电路,产生的一定宽度的矩形脉冲序列,f为经低通滤波器输出判决得到的数字基带信号。第二节 基于VHDL的2FSK解调器的建模一、2FSK解调器的建模原理2FSK解调方框图如图3.2所示,2FSK解调电路的VHDL建模符号如图3.3所示。该模型与2ASK的解调模型类似。其核心部分由分频器、计数器、寄存器和判决器组成。图3.2中分频器的输出是对时钟信号进行12分频得到的。假设时钟信号与发端时钟同步且调制信号信号为数字信号。clk为时钟信号,控制分频器。当同步信号start为高电平时开始解调。与ASK解调的过零检测法一样,由于要计算调制信号一

39、个周期内的过零点次数,所以分频器q的功能是:计算调制信号的周期;如果时钟频率与2FSK调制信号频率fclkf=12:1,那么表示每12个clk时钟周期发送一个2FSK调制信号,即当时钟计数器从0递增到11时,一个调制信号传输结束。寄存器xx的功能是:在时钟的上升沿到来时把2FSK信号存入寄存器;计数器的功能是:在调制信号的一个周期内,对寄存器中2FSK信号的上升沿进行计数;判决器的功能是:在调制信号的一个周期内,对计数器m的值进行判决。判决门限值根据调制信号和基带信号的频率的比值决定。如果一个2FSK调制信号码元中有1个周期的载波f1或者有6个周期的载波 f2,则判决门限值x可以选择m = 1

40、也可以选择m = 6,通过判决器就可以恢复出原始的基带信号。判决器的门限也可以选为m3,使得解调器有一定的容错能力,能更好的解调出基带信号12。2FSK信号startclk分频器q寄存器xx计数器m判决器基带信号图3.2 2FSK解调方框图图3.3 2FSK解调电路的VHDL建模符号二、2FSK解调器的建模设计调制部分:假设调制时2FSK调制信号由频率键控法实现,基带信号是通过clk的12分频得到的10110010;载波信号f1是通过clk的12分频得到的,载波信号f2是通过clk的2分频得到的,基带信号为“1”时对应输出f2,基带信号为“0”时,对应输出f1。这样一个基带码元里就有1个载波信

41、号f1或6个载波信号f2。解调部分:通过对已调信号进行脉冲计数判决,前面说了基带信号是通过clk信号12分频得到,那么码元周期是clk的12倍,首先对clk进行脉冲计数并设为q,那么0=q3时,当前调制信号判决为输入f2,即输出为“1”,否则输出为“0”(实际上当计数值m=6时,判决为f2,即输出判决对应“1”,m=1时,判决为f1,即输出判决对应“0”。此处选择m3主要是让程序具有一定的容错能力)。三、2FSK解调的VHDL程序仿真及分析2FSK解调仿真图如图3.4所示:图3.4(a) 2FSK解调VHDL程序仿真图图3.4(b) 2FSK解调VHDL程序局部放大仿真图clk为时钟信号,st

42、art为同步信号,x为输入2FSK信号,y为程序解调出的输入基带信号。q为分频器,m为计数器,xx为寄存器。当同步信号start为高电平时,进行2FSK解调。分析2FSK解调仿真局部放大图(b)可知,当同步信号start为高电平时,程序开始进行解调。当q=11时,m清零;当q=10时,根据m的大小,进行对输出基带信号y的电平的判决;在q为其他值时,计数器m计xx(x信号的寄存器)的脉冲数。在clk的上升沿时寄存器寄存输入的2FSK信号,寄存器xx滞后输入信号x一个clk(进程中信号的赋值是在进程的最后才进行,且有延时)。如图设置的clk时钟脉冲周期是20ns,那么它的12分频信号周期是240n

43、s,即基带信号码元周期是240ns,经过12分频的载波f1周期是240ns,经过2分频的载波f2周期是40ns,调制时基带信号为1时输出6个载波f2,基带信号为0时输出1个载波f1,很容易的我们知道调制信号有6个载波时判决为1,调制信号只有1个载波时判决为0,由于在分频器q=10的地方进行判决,所以输出信号会延时输入信号10个clk,由图中输出信号y波形可看出其输出信号为10110010,与调制时的基带信号相同。所以程序在一定的、合理的延时内,正确解调出了基带信号,满足设计要求,解调成功。第三节 本章小结本章主要介绍了基于FPGA的2FSK信号的解调模块的设计,首先简要介绍了2FSK信号的概念

44、、常用解调方法、本设计采用的过零检测法及其解调原理。然后介绍了基于VHDL的2FSK解调器的建模和设计,并给出建模方框图,对各部分功能进行了讲解,最后给出时序仿真波形并对其进行了分析。第四章 2DPSK解调模块的设计第一节 2DPSK解调原理一、二进制绝对相移键控和相对相移键控数字相位调制(相移键控)是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。二进制相移键控用同一载波的两种相位来代表数字信号,由于PSK系统抗噪声性能优于ASK和FSK,而且频带利用率较高,所以,在中、高速数字通信中被广泛采用。相移键控通常可分为绝对相移键控(2CPSK)和相对(差分)相移键控(2DPSK

45、)两种方式。1、二进制绝对相移键控(2CPSK)一般地如果二进制序列的数字信号“1”和“0”,分别用载波的相位和0这两个离散值来表示,而其幅度和频率保持不变,这种调制方式就称为二进制绝对相移键控。其已调信号的相位受数字基带信号控制。2、二进制相对相移键控(2DPSK)在绝对调相方式中,发送端是以未调载波相位作基准,然后用已调载波相位相对于基准相位的绝对值(0或)来表示数字信号。因为在接收端也必须有这样一个固定的基准相位作参考,如果这个参考相位发生变化(0 或0),则恢复的数学信号也会发生错误(“1”“0”或“0”“1”),这种现象通常称为2CPSK方式的“倒现象”或“反向工作现象”。为了克服这

46、种现象,通常采用相对相移键控(2DPSK)方式。相对相移键控(2DPSK)是利用前后相邻码元载波相位的相对变化来表示数字信号。二、2DPSK解调方法2DPSK的解调方法有两种:极性比较法(同步解调或相干解调)和相位比较法(非相干解调)13。本设计采用极性比较法,即先进行2CPSK的解调得到相对码序列,再通过相对码到绝对码的码转换器即可实现。1、2DPSK调制在 2CPSK 调制时,数字信息“0”用相位0表示,数字信息“1”用相位 表示,在 2DPSK 调制时数字信息“0”用相位变化 0表示,数字信息“1”用相位变化 表示,2CPSK 和 2DPSK 调制规则如表4.1所示:表4.1 2CPSK

47、和2DPSK调制规则数字信息101100102CPSK相位00002DPSK相位0(参考)000在实现 2DPSK 调制时,只要先将原信息系列(绝对码)变换成相对码,然后进行 2CPSK 调制就可以了14。若绝对码记为ak,相对码记为bk,则:绝对码相对码之间的关系为:bk= akbk-1相对码绝对码之间的关系为:ak= bkbk-115按此规律得上述信息码的相对码为:2DPSK 编码:0(参考) 1 1 0 1 1 1 0 0由于在 2DPSK 调制中,数字信息是用前后码元已调信号的相位变化来表示的,因此,用有相位模糊度的载波进行相干解调时并不影响相对关系。就算解调得到的相对码完全是 0、1

48、 倒置,但经差分译码得到的绝对码不会发生任何倒置现象,从而克服了载波相位模糊度问题。2、相位比较法解调原理相位比较法解调原理是:将接收到的前后码元所对应的调相波进行相位比较,它是以前一码元的载波相位作为后一码元的参考相位,所以称为相位比较法或差分检测法。该电路与极性比较法不同之处在于乘法器中与信号相乘的不是载波,而是前一码元的信号,该信号相位随机且有噪声,它的性能低于极性比较法的性能。由于解调的同时完成了码反变换作用,故解调器中不需要码反变换器。同时差分相干解调方式不需要专门的相干载波,因此是一种非相干解调方法。163、极性比较法解调原理极性比较法解调原理是:先对2DPSK信号进行2CPSK解

49、调,恢复出相对码,再通过码转换器转变为绝对码,进而恢复出原二进制数字信息。在解调过程中,若相干载波发生180相位模糊,解调出的相对码将发生倒置现象,但是经过码反变换器后,输出的绝对码不会发生任何的倒置现象,从而解决了载波相位模糊的问题。第二节 基于VHDL的2DPSK解调器的建模一、2DPSK解调器的建模原理2DPSK解调器建模框图如图4.1所示,是由2CPSK解调模块加相对码到绝对码的码转换器模块组成的,解调器包括计数器、寄存器、异或电路和判决器等。假设时钟信号与发端时钟同步且调制信号为数字信号。其中clk为时钟信号,控制计数器。当同步信号start为高电平时开始解调。假设原基带信号为101

50、10010。.计数器q的功能是:计算调制信号的周期。2DPSK信号startclk计数器q寄存器xx判决器异 或基带信号相对码如图4.1 2DPSK解调器建模框图二、2DPSK解调器的建模设计1、2CPSK解调器的建模设计2CPSK解调器的建模方框图如图4.2所示,假设调制时的基带信号为11011100,其中clk为时钟信号,控制计数器q。当同步信号start为高电平时开始解调。图中计数器q的功能是:计算调制信号的周期。调制部分:假设2CPSK信号是经过计数器输出两路相位相反的数字载波信号,并在基带信号的控制下对两路载波信号进行选通而产生的,其中基带信号是通过clk的4分频得到的1101110

51、0;两路相位相反的载波信号也是通过clk的4分频得到的,这样一个基带码元里就只有1个载波信号。解调部分:前面说了基带信号是通过clk信号4分频得到,那么码元周期是clk的4倍,首先对clk进行脉冲计数并设为q,那么0=q=3,每个码元周期计一次数,码元结束(即q=3)时清0。判决器的功能是:在每个码元周期内,对q=0(也可选为q=1)时调制信号的相位进行判决。因为一个基带码元内只有一个载波信号,且载波信号为占空比为50%的矩形波,所以我们对其前半周期的电位进行判决便可知其相位,比如前半周期的电位为“1”,则其载波相位为0,对应的基带信号为“0”;前半周期的电位为“0”,则其载波相位为,对应输出

52、的基带信号为“1”。有一点要清楚:此处2CPSK解调的输出信号即为2DPSK解调系统中的相对码。2CPSK信号startclk计数器q判决器基带信号图4.2 2CPSK解调器建模方框图2、相对码到绝对码的码转换器的建模设计相对码到绝对码的建模方框图如图4.3所示,其中clk为时钟信号,控制计数器q。start为同步信号,当start为高电平时开始进行码转换。计数器的功能是:计算调制信号的周期。相对码绝对码之间的关系为:ak= bkbk-115。相对码startclk计数器q寄存器绝对码异 或图4.3 相对码到绝对码的码转换器方框图3、2DPSK解调电路VHDL建模在Quartus II中利用元

53、件调用和例化语句将2CPSK解调模块和相对码到绝对码的码转换器模块连接起来,17可实现一个完整的2DPSK解调器的VHDL编程和整体功能,其电路VHDL建模符号和RTL电路图分别如图4.4、4.4所示。图4.4 2DPSK解调电路的VHDL建模符号图4.5 2DPSK解调器RTL电路图三、2DPSK解调VHDL程序仿真及分析1、2CPSK解调VHDL程序仿真图如图4.6所示:图4.6(a) 2CPSK解调VHDL程序仿真图图4.6(b) 2CPSK解调VHDL程序仿真局部放大图clk为时钟信号,start为同步信号,x为输入2CPSK信号,y为程序解调出的输入基带信号。q为计数器。当同步信号s

54、tart为高电平时,进行2CPSK解调。分析2CPSK解调仿真局部放大图(b)可知,当同步信号start为高电平时,程序开始进行解调。当q=1时,根据输入2CPSK信号x的电平来进行判决。从(b)图可以看到,当调制信号x前半周期的电位为“1”时,对应的输出信号y为“0”;前半周期的电位为“0”时,对应的输出信号y为“1”,符合设计要求。输出信号y滞后输入信号x一个clk(进程中信号的赋值是在进程的最后才进行,且有延时)。由(a)图可以看到,最终输出信号为11011100,与调制时的基带信号相同。所以程序在一定的、合理的延时内,正确解调出了基带信号,满足设计要求,解调成功。、相对码到绝对码的码转

55、换器的VHDL仿真图如图4.7所示:图4.7 相对码到绝对码的码转换器的VHDL仿真图clk为时钟信号,start为同步信号,x为输入相对码,y为程序输入绝对码。q为计数器,xx为相对码寄存器。当同步信号start为高电平时,进行相对码到绝对码的转换。分析相对码到绝对码的码转换器仿真图可知,当同步信号start为高电平时,程序开始进行相对码到绝对码的转换。在计数器q=3时,输出信号y开始输出,图中输入相对码为11011100,对应的输出信号为10110010,符合相对码绝对码之间转换关系ak= bkbk-1,因为是在q=3时才对y进行输出,所以输出信号y滞后输入信号x四个clk。由此可知,程序

56、满足设计要求,转换成功。3、2DPSK解调仿真图如图4.8所示:图4.8(a) 2DPSK解调仿真图图4.8(b) 2DPSK解调仿真局部放大图clk1为时钟信号,start1为同步信号,x1为输入2DPSK信号,y1为程序解调出的输入基带信号。当同步信号start为高电平时,进行2DPSK解调。分析2DPSK解调仿真局部放大图(b)可知,当同步信号start为高电平时,程序开始进行解调。当q=3时,对解调信号进行判决输出,但图中显示输出信号却是在q=0时才输出,这是因为进程中信号的赋值是在进程的最后才进行,存在延时,所以输出信号y1滞后输入信号x1四个clk。由图(a)可知输出相对码(pl-

57、cpsk2:u1y)为11011100,输出绝对码(code:u2y)为10110010,最终输出信号y1(即解调出的基带信号)为10110010,与预想结果一致,符合设计要求。所以程序在一定的、合理的延时内,正确解调出了基带信号,解调成功。第三节 本章小结本章主要介绍了基于FPGA的2DPSK信号的解调模块的设计,首先简要介绍了2DPSK信号的概念、常用解调方法(相位比较法和极性比较法),并对主要采用的极性比较法的原理进行简要叙述。然后介绍了基于VHDL的二进制绝对相移键控(2CPSK)和相对码到绝对码的码转换器(code)的建模和设计,给出建模方框图和时序仿真波形并对其进行了分析。最后运用

58、元件调用和例化语句将2CPSK和code模块连接起来构成最终的二进制相对相移键控(2DPSK)模块,并对其时序仿真波形进行分析。结 论从测试结果来看,该系统简单且达到了任务要求。整个系统运用VHDL硬件描述语言,对2ASK、2FSK、2DPSK解调器进行了详细的介绍、设计及仿真。系统的设计大部分通过Quartus II软件实现,在完成设计任务的同时,既熟悉了VHDL语言的编写,也对Quartus II这款功能强大的软件有了更深的认识。在学习和设计的过程中,我也遇到了不少的问题: 第一:从开始对解调系统的设计开始,始终无法确定要用哪种方式去实现FSK解调系统的设计,最后在老师的点拨下发现过零检测

59、法是VHDL里面最常用的也是最容易实现的解调方式,因此我才决定采用过零检测法实现解调功能。第二:正如前面所说,Quartus II是一款功能强大的软件,本次设计只使用到了其中的一部分功能。在初期的设计过程中,有很多功能是我以前没有使用过的,只能慢慢摸索,最后达成目的时很有成就感。第三:VHDL语言编写方便易懂,但一开始接触还是有些困难,通过查阅书籍与多加编写练习就能迅速掌握简单的程序编写。遗憾的是,由于本设计只对解调进行了研究,并未加入调制,所以导致在仿真波形中不能一目了然的判断程序的正确性。如果能有更多时间,将调制也加入本设计就更完美了。致 谢在毕业论文即将完成之际,我的心情也是十分复杂的。

60、除了顺利完成毕业设计的喜悦,心里也涌起一股即将离别的怅然。在这里,首先要感谢我的毕业设计指导老师老师对我的帮助。论文开始的初期,我对于论文的结构、文献选取以及到具体的设计和调试方面都有很大问题,经过老师耐心的指导与点拨和两个月的反复修改与试验,我的设计终于也将画上一个句点。这一系列的过程中都凝聚着老师们与我的汗水和心血,是一笔珍贵的财富。与此同时,感谢学校对我的精心栽培,大学是一所有着严谨的治学态度和浓厚的学术氛围的高等学府,在这生活的四年里,我不仅掌握了专业知识,也拥有了走入社会应当具备的素质。最后,再次向帮助我的老师、同学们表达我衷心的感谢!参考文献1蒋青.现代通信技术基础M. 高等教育出

61、版社, 2008.2沈兰荪, 李智群. 调制解调的数字实现J. 电信科学, 1993, 9(6): 27-32.3张琳. 数字式调制解调器的设计与实现J. 无线电通信技术, 1994, 20(3): 39-45.4黄志伟, 王彦, 陈琼. FPGA 系统设计与实践J. 2005.5潘松,黄继业.EDA技术与VHDLM.北京:清华大学出版社,2007年1月.6Sonmez M, Akbal A. FPGA-Based BASK and BPSK Modulators Using VHDL: Design, Applications and Performance Comparison for Different Modulator AlgorithmsJ. International Journal of Computer Applications, 2012, 42.7李京楠, 范云杰. 基于过零检测法的 ASK 解调研究J. 中国无线电, 2012 (12): 67-68.8潘松,黄继业.EDA技术与VHDLM.北京:清华大学出版社,2007年1月.9段吉海

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!