(完整word版)4位全加器实验报告

上传人:ya****h 文档编号:56419839 上传时间:2022-02-21 格式:DOC 页数:5 大小:170KB
收藏 版权申诉 举报 下载
(完整word版)4位全加器实验报告_第1页
第1页 / 共5页
(完整word版)4位全加器实验报告_第2页
第2页 / 共5页
(完整word版)4位全加器实验报告_第3页
第3页 / 共5页
资源描述:

《(完整word版)4位全加器实验报告》由会员分享,可在线阅读,更多相关《(完整word版)4位全加器实验报告(5页珍藏版)》请在装配图网上搜索。

1、1四位全加器11微电子 黄跃1117426021【实验目的】采用modelsim集成开发环境,利用verilog硬件描述语言中行为描述模式、 结构描述模式或数据流描述模式设计四位进位加法器。【实验内容】加法器是数字系统中的基本逻辑器件。多位加法器的构成有两种方式:并行 进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法 器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器 的资源占用差距也会越来越大。实现多位二进制数相加的电路称为加法器,它能解决二进制中1+1=10的功 能(当然还有0+

2、0、0+1、1+0).【实验原理】全加器除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图4为全加器的方框图。图5全加器原理图。被加数A、加数B从低位向本位进位G作 为电路的输入,全加和S与向高位的进位C作为电路的输出。能实现全加运算 功能的电路称为全加电路。全加器的逻辑功能真值表如表2中所列。信号输入端信号输出端AiBiCiSiCi000000011001010011b 11100101010111001111112表2全加器逻辑功能真值表林向离整谡他*歸伞加和】图4全加器方框图图5全加器原理图多位全加器连接可以是逐位进位, 也可以是超前进位。逐位进位也称串行进 位,其逻辑电路简单

3、,但速度也较低。四位全加器如图9所示,四位全加器是由半加器和一位全加器组建而成:图9四位全加器原理图【实验步骤建立新工程项目:打开modelsim软件,进入集成开发环境,点击FileNew project建立3个工程项目adder_4bit。建立文本编辑文件:点击FileNew在该项目下新建Verilog源程序文件adder_4bit.v并且输入源程序。(2)编译和仿真工程项目:在verilog主页面下,选择CompileCompile All或点击工具栏上的按钮 启动编译,直到project出现status栏全勾,即可进行仿真。选择simulate - start simulate或点击工具

4、栏上的按钮开始仿真,在跳出 来的startsimulate框中选择work-test_adder_4bit测试模块, 同时撤销Enable Optimisim前的勾,之后选择ok。在sim-default框内右击选择test_adder_4bit,选择Add Wave然后选择simulate-ru n-ru nall,观察波形,得出结论,仿真结束。四位全加器1、原理图设计如图9所示,四位全加器是由半加器和一位全加器组建而成:【仿真和测试结果】下图为四位全加器的仿真图: I 11 ci :Q:1匸他:-T 蚌*或側匚二应-O JO4【程序源代码】1位全加器程序代码如下:module f_adde

5、r(a,b,ci n,sum,cout);output sum,cout;in put a,b,ci n;wire s1,c1,c2;xor(s1,a,b);an d(c1,a,b);or(sum,s1,ci n);an d(c2,s1,c in);xor (cout,c2,c1);en dmodule四位全加器程序代码如下:module adder_4bit(s,co,a,b,ci);output3:0 s;output co;in put3:0 a,b;in put ci;wire ci1,ci2,ci3;f_adder f0(a0,b0,ci,s0,ci1);f_adder f1(a1,

6、b1,ci1,s1,ci2);f_adder f2(a2,b2,ci2,s2,ci3);f_adder f3(a3,b3,ci3,s3,co);En dmodule四位全加器测试程序代码如下:module test_adder_4bit;reg 3:0 A,B;reg CI;wire 3:0 S;wire CO;adder_4bit A1(S,CO,A,B,CI);in itialbeg in$mo nitor($time,A=%b,B=%b,CI=%b,CO=%b,S=%bn,A,B,CI,CO,S);endfll图10仿真图5in itialbegi nA=4dO;B=4dO; CI=1B0;#5 A=4d3;B=4d4;#5 A=4d2;B=4d5;#5 A=4d9;B=4d9;#5 A=4d10;B=4d15;#5 A=4d10;B=4d5;CI=1b1;enden dmodule【实验心得和体会】通过设计4位全加器,熟练了原理图输入方法,学会使用Verilog语言输入 方法,也能较灵活地使用modlesim软件。由时序图可以看出实现了4位全加器的功能,Verilog的程序设计是正确的, 实验是成功的。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!