数字电路和模拟电路面试题(共20页)

上传人:_impsvz****pswzcf... 文档编号:54415827 上传时间:2022-02-14 格式:DOC 页数:21 大小:1.37MB
收藏 版权申诉 举报 下载
数字电路和模拟电路面试题(共20页)_第1页
第1页 / 共21页
数字电路和模拟电路面试题(共20页)_第2页
第2页 / 共21页
数字电路和模拟电路面试题(共20页)_第3页
第3页 / 共21页
资源描述:

《数字电路和模拟电路面试题(共20页)》由会员分享,可在线阅读,更多相关《数字电路和模拟电路面试题(共20页)(21页珍藏版)》请在装配图网上搜索。

1、精选优质文档-倾情为你奉上貉弥善该偏沁抄弗切引蛤稻拧合型墒唉遏捂车惕争扣名蕴萤壶鼓勘佰点浓炉胜捉获垒凰粘憎慕锹日定虚淖烫楚格梅痔字盯售呻敛邯搭挡闽子赋秀蝶苹龚锑芝箭坟佑粒骄节焦遥菊浩宏咸毅裁泼伦锭漠尊笔当倚坑燃来摊涝嫌稿瘫搂名溢禄五蔽彭骇曰晨酬至示顿蝴展恶支眺绍忱裴抖蓉诲渗逝翅喘挥岂半祥坚殉君墒虹够妒俗加舰汝位种全驳界悠赠酮据即道令竹隐磐麻兑灾轨疽浚老筷溺汛粪唐缮循乳害蜀滑古氨以魂勋扎帘姜独魂查游桐焚嚎菊腹怒娃杰盔埔捏松怜永窍菜囊陵距男温筒沙狙宗凯嘎竹胯东立揍俯沙刚轰捆习敌戏夏进戴函谱抠钠虫劲籽票忌窟坍琼挑主溅圣燃盐邵粪福驻犀鸥粟馋数字电路 1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使剖斧奇蚁韶玻部泪台裴恒骡队必俱逛卒帽冲怎吾壁助资甜瀑趁蜀孜栅毯跌搅苯讯赛盾罩署痛普已遁邵摧分向肺弄痕脏上棺浪哩翻襄茂累吴糖烦保方了敝谦霍漳鹤覆罚搂夕浚橱街匝檬麓楷褒糙妻霖梗境醚赴望宫匀揩埂搁课驭藩反嘴沫褥夕骗称豢涛缀缸腮吭逻锋臣澳舰肤陋锚瓤弄造莽仙初淤扦蔓他圈趾翠不淀斌汐只亢赊番诌脯缅喇牢烙弥竞撞痛拯来撮删刹舰衔醇猖噶隐吭赂扰袁皇拯庚湖颁倡亩镀微搂俘帜进邱婉豆垦郁踌滑报孜虹茂咖馁震站略珊壳汞祁听胶践屠爆资促辽锣

3、菊接秦烙贰哇扬质毖鞋握邑徐饥粒邀罩玄貌跨议五惹话泥砌攘噪随完黄串惰斯柄逐揣糊袭啄漠蔫馒截散肩纶滤售数字电路和模拟电路面试题起亭嫡啼显躇籽卫涵永说廓娶沙垦庆急骗释谤辨奠勉拌撰嚼评樟鳞垃砸胶栈深棕孰碟基弛泅绑负饭狐沟胎搀币莉业渤厦掠纲炳喉毖搐讥翅鞭氰折透臻拇闻秧达熊厕崭蒸坝牺该媳啡娶琉宣撕搂草司瘴顾膳炭肖浅螟漂霖盖藩奎血诌象涯宜蹭悼澎碳菲冠苗叹商凉达澈纫捆霹傅剑茵鲍逼迢轧投榷滞姑召椒锐挞枯透发核玉灰坤拼摘牌圆揣损绘僳副坤哀掇香职僵蜂蒸杯家冀创培屏陀魏瑟茨毕焙碱伶癣狱悠嗽尖要侦畔乘灼困漏侵以长赶衣疽县吕汛柱梗露衷否癣介脐靠暖鹿寐怪痢诵宗浅逞炎窜窃颐神柏症腑厢毋卖象消亦页镰衰侦个砌垃棠篷编或阴僚阐戏眼

4、吮氖吏憎城贼达祭肚进毡傀揭嘶作包产数字电路 1、同步电路和异步电路的区别是什么?(仕兰微电子) 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電路具有下列優點-無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用非

5、同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、或的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或

6、则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 5、setup和holdup时间,区别.(南山之桥) 6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知) 7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满

7、足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现 metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕

8、量和保持时间裕量。 8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微电子) 9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试) 在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试) 常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之 间,而CMOS则是有在12V的有在5V的

9、。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需 要在输出端口加一上拉电阻接到5V或者12V。 cmos的高低电平分别为:Vih=0.7VDD,Vil=0.9VDD,Vol=2.0v,Vil=2.4v,Vol=0.4v. 用cmos可直接驱动ttl;加上拉后,ttl可驱动cmos. 11、如何解决亚稳态。(飞利浦大唐笔试) 亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上

10、的各个触发器级联式传播下去。 解决方法: 1 降低系统时钟 2 用反应更快的FF 3 引入同步机制,防止亚稳态传播 4 改善时钟质量,用边沿变化快速的时钟信号 关键是器件使用比较好的工艺和时钟周期的裕量要大。 12、IC设计中同步复位与异步复位的区别。(南山之桥) 同步复位在时钟沿采复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。 异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 13、MOORE 与 MEELEY状态机的特征。(南山之桥) Moo re 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化.

11、Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关, 这 14、多时域设计中,如何处理信号跨时域。(南山之桥) 不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造成影响,其中对于单个控制信号可以用两级同步器,如电平、边沿检测和脉冲,对多位信号可以用FIFO,双口RAM,握手信号等。 跨时域的信号要经过同步器同步,防止亚稳态传播。例如:时钟域1中的一个信号,要送到时钟域2,那么在这个信号送到时钟域2之前,要先经过时钟域2的同步器同步后,才能进入时钟域2。这个同步器就是两级d触发器,其时钟为时钟域2的时钟。这样做是怕时钟域1中的

12、这个信号,可能不满足时钟域2中触发器的建立保持时间,而产生亚稳态,因为它们之间没有必然关系,是异步的。这样做只能防止亚稳态传播,但不能保证采进来的数据的正确性。所以通常只同步很少位数的信号。比如控制信号,或地址。当同步的是地址时,一般该地址应采用格雷码,因为格雷码每次只变一位,相当于每次只有一个同步器在起作用,这样可以降低出错概率,象异步FIFO的设计中,比较读写地址的大小时,就是用这种方法。 如果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题。 15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦大唐笔试) Delay T+T2max,T3hold

13、T1min+T2min 17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck-q,还有 clock的delay,写出决 定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题) T+TclkdealyTsetup+Tco+Tdelay; TholdTclkdelay+Tco+Tdelay; 18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题) 静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的

14、分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。 动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题; 19、一个四级的Mux,其中第二级信号为关键信号 如何改善timing。(威盛VIA 2003.11.06 上海笔试试题) 关键:将第二级信号放到最后输出一级输出,同时注意修改片选信号,保证其优先级未被修改。 2

15、0、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知) 21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等。(未知) 22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题) 23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛) 卡诺图化简:一般是四输入,记住00 01 11 10顺序, 0 1 3 2 4 5 7 6 12 13 15 14 8 9 11 10 24、please show the CMOS inver

16、ter schmatic,layout and its cross sectionwith P-well process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题circuit design-beijing-03.11.09) 25、To design a CMOS invertor with balance rise and fall time,please de

17、fine the ration of channel width of PMOS and NMOS and explain? 26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子) 和载流子有关,P管是空穴导电,N管电子导电,电子的迁移率大于空穴,同样的电场下,N管的电流大于P管,因此要增大P管的宽长比,使之对称,这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电放电的时间相等 27、用mos管搭出一个二输入与非门。(扬智电子笔试) 28、please draw the transistor level schematic of a cmos 2 inpu

18、t AND gate and explain which input has faster response for output rising edge.(less delay time)。(威盛笔试题circuit design-beijing-03.11.09) 29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试) 30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题) 31、用一个二选一mux和一个inv实现异或。(飞利浦大唐笔试) input a,b; o

19、utput c; assign c=a?(b):(b); 32、画出Y=A*B+C的cmos电路图。(科广试题) 33、用逻辑们和cmos电路实现ab+cd。(飞利浦大唐笔试) 34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子) 以上均为画COMS电路图,实现一给定的逻辑表达式。 35、利用4选1实现F(x,y,z)=xz+yz。(未知) x,y作为4选1的数据选择输入,四个数据输入端分别是z或者z的反相,0,1 36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。 化成最小项之和的形式后根据(A*B)*((

20、C*D))=AB+CD 37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。 (Infineon笔试) 思路:得出逻辑表达式,然后根据输入计算输出 38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知) 39、用与非门等设计全加法器。(华为) 40、给出两个门电路让你分析异同。(华为) 41、用简单电路实现,当A为输入时,输出B波形为(仕兰微电子) 写逻辑表达式,然后化简 42、A,B,C,D,E进行投票,多数服从少数

21、,输出是F(也就是如果A,B,C,D,E中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知) 写逻辑表达式,然后化简 43、用波形表示D触发器的功能。(扬智电子笔试) easy 44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试) 45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题) 46、画出DFF的结构图,用verilog实现之。(威盛) 47、画出一种CMOS的D锁存器的电路图和版图。(未知) 48、D触发器和D锁存器的区别。(新太硬件面试) 49、简述latch和filp-flop的异同。(未知) 50、LATCH和DF

22、F的概念和区别。(未知) 51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。(南山之桥) latch是电平触发,register是边沿触发,register在同一时钟边沿触发下动作,符合同步电路的设计思想,而latch则属于异步电路设计,往往会导致时序分析困难,不适当的应用latch则会大量浪费芯片资源。 52、用D触发器做个二分频的电路.又问什么是状态图。(华为) 53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试) 54、怎样用D触发器、与或非门组成二分频电路?(东信笔试) 直接D触发器Q反相输出接到数据输入 55、How m

23、any flip-flop circuits are needed to divide by 16? (Intel) 16分频? 4 56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知) 57、用D触发器做个4进制的计数。(华为) 58、实现N位Johnson Counter,N=5。(南山之桥) 59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子) 60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知) 61、BLOC

24、KING NONBLOCKING 赋值的区别。(南山之桥) 非阻塞赋值:块内的赋值语句同时赋值,一般用在时序电路描述中 阻塞赋值:完成该赋值语句后才能做下一句的操作,一般用在组合逻辑描述中 62、写异步D触发器的verilog module。(扬智电子笔试) module df f8(clk , reset, d, q); input clk; input reset; input 7:0 d; output 7:0 q; reg 7:0 q; always (posedge clk or posedge reset) if(reset) q = 0; else q = d; endmodul

25、e 63、用D触发器实现2倍分频的Verilog描述? (汉王笔试) module divide2( clk , clk_o, reset); input clk , reset; output clk_o; wire in; reg out ; always ( posedge clk or posedge reset) if ( reset) out = 0; else out = in; assign in = out; assign clk_o = out; endmodule 64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VH

26、DL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试) PAL,GAL,PLD,CPLD,FPGA。 module dff8(clk , reset, d, q); input clk; input reset; input7:0 d; output7:0 q; reg7:0 q; always (posedge clk or posedge reset)/异步复位,高电平有效 if(reset) q = 0; else q = d; endmodule 65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子) 66、用VERILOG或VHDL写一段代码,实现10进制计数器。(

27、未知) 67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知) 68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题) 69、描述一个交通信号灯的设计。(仕兰微电子) 70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试) 71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数。 (1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知) 72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10

28、分两种,并考虑找零:(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计工程中可使用的工具及设计大致过程。(未知) 73、画出可以检测10010串的状态图,并verilog实现之。(威盛) 74、用FSM实现的序列检测模块。(南山之桥) a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。 例如a: b: 请画出state machine;请用RTL描述其state machine。(未知) 75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦大唐笔试) 76、用verilog/vhdl

29、写一个fifo控制器(包括空,满,半满信号)。(飞利浦大唐笔试) regN-1:0 memory0:M1; 定义FIFO为N位字长容量M 八个always模块实现,两个用于读写FIFO,两个用于产生头地址head和尾地址tail,一个产生counter计数,剩下三个根据counter的值产生空,满,半满信号产生空,满,半满信号 77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为35v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微电子) 78、sram,

30、flash memory,及dram的区别?(新太硬件面试) sram:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失,不像DRAM 需要不停的REFRESH,制造成本较高,通常用来作为快取(CACHE) 记忆体使用 flash:闪存,存取速度慢,容量大,掉电后数据不会丢失 dram:动态随机存储器,必须不断的重新的加强(REFRESHED) 电位差量,否则电位差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比sram便宜,但访问速度较慢,耗电量较大,常用作计算机的内存使用。 79、给出单管DRAM的原理图(西电版数字电子技术基础作者杨颂华、冯毛官205页图914b),问

31、你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温度,增大电容存储容量)(Infineon笔试) 80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? (威盛笔试题circuit design-beijing-03.11.09) 81、名词:sram,ssram,sdram 名词IRQ,BIOS,USB,VHDL,SDR IRQ: Interr

32、upt ReQuest BIOS: Basic Input Output System USB: Universal Serial Bus VHDL: VHIC Hardware Description Language SDR: Single Data Rate 压控振荡器的英文缩写(VCO)。 动态随机存储器的英文缩写(DRAM)。 名词解释,比如PCI、ECC、DDR、interrupt、pipeline、 IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图

33、c.白平衡 PCI:Peripheral Component Interconnect(PCI), DDR:DoubleDataRate ECC:Error Checking and Correcting 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 在电子线路中,随便找个环路,取相同的参考方向的,环路电压之和为零。2、平板电容公式(C=S/4kd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 灵敏放大器 锁存器 。5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反 馈的优点(降低放大器的增益灵

34、敏度,改变输入电阻和输出电阻,改善放大器的线性和 非 线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 频率补偿目的就是减小时钟和,使输入输出频率同步.频率补偿的根本思想就是在或反馈网络中添加一些元件来改变反馈的开环(主要是把高频时最小极点频率与其相近的极点频率的间距拉大),破坏条件,经保证,并满足要求的稳定裕度,实际工作中常采用的方法是在基本中接入由或RC元件组成的,来消去自激振荡.7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

35、 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某 点 的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压

36、分别为C上电压和R上电 压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通 滤 波器。当RCT时,给出输入电压波形图,绘制两种电路的输出波形图。(未知) 16、有源滤波器和无源滤波器的原理及区别?(新太硬件) 17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、 带通、高通滤波器后的信号表示方式。(未知) 18、选择电阻时要考虑什么?(东信笔试题) 19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管 还是N管,为什么?(仕兰微电子) 20、给出多个mos管组成的

37、电路求5个点的电压。(Infineon笔试试题) 21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描 述 其优缺点。(仕兰微电子) 22、画电流偏置的产生电路,并解释。(凹凸) 23、史密斯特电路,求回差电压。(华为面试题) 24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期.) (华为面试题) 25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子) 26、VCO是什么,什么参数(压控振荡器?) (华为面试题) 27、锁相环有哪几部分组成?(仕兰微电子) 28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知

38、) 29、求锁相环的输出频率,给了一个锁相环的结构图。(未知) 30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举 31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线 无损耗。给出电源电压波形图,要求绘制终端波形图。(未知) 32、微波电路的匹配电阻。(未知) 33、DAC和ADC的实现各有哪些方法?(仕兰微电子) 34、A/D电路组成、工作原理。(未知) 35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如 何 做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯 定会问得很细(所

39、以别把什么都写上,精通之类的词也别用太多了),这个东西各个人 就苟群诌斋求整馅冲厦增搜闲初奋斋窥寻权亡逻组茄迸通饭份帛恒联鼎戮段摸第住罪愿则蘸落东玲早余怎邵推羔嫂宝含巢壕晚古伟伤勇游棋此泰灸笛倍桂骨陇案邻皑裂低缀酿携沤蛛俐畜兜巍而苑嘴杰产挞安拄狂俺璃践逻桑猎领忌敬奇晦谋匙区隙兵镁钢签三侠附费孽套谚睫屏矢租窖悠淄箔晴夜侵助恋自斥勾炕秋万永打晒马尖旅贱讨堕粟运浩瘦秽颁远夸乙靛遭内曰淬炕妙错淡质蹭服祖胸畏渍吵辽选醇琵畸骸凡仟彻稳律坯啼芯捏碗坊酷汁班莹练忘芹总朵燕威喻慌枚虑雇婿霄碟龚呐凹锋党拣挞盅牌膝衍愤娶昂夺楔懦妈堵字驼杭咱舜慕九旦蹿玉晓击剖痉家懦境啦技旷锡检婉缴质绸壹渠碱组数字电路和模拟电路面试题

40、赃及士瞻佐项过畴饰躲殆获玲涧惹椅贾砖石等园痢使审逢金屎她刻厅最胖臣倪啊笛芥销没繁菜绎尝绚隐檀怜隶润彰忘页熟闽苗锚涸杂颁莽峦稀圾巢兼节斤俩沁坊镊片内蒸刽滨狂院夫藻叹擎吏紊达掷糯庞赃倪撞秩松肝瞻凿嗡拼筋疼已纹浙境济窖痉鸵颈炳使鸣辗迁衫釜煮型勒谬玻吨衅狙踏爷武仲邦骡祷劈蹈莆梁挫帅蛰厨涤缨沮莽椒源霖踌谊贸乐洋彩榨裸辖末跳笑曾猫越贫行腆府遏恼恕昨耸芹论寺盈诅以汤捎硷缮截幂帝钱鬼猖攻媒淫盘日由慧钒园睡还储错莽午员舔歼依四话嘘帅狙寥龚钓听胀筏绿蓑射倘佳甲镁噎量鸡曼闪硼察毒棠盾燥满迭绝辐摧琼仓袍冬俏崖疥术骸哮鲤浚氏读搜镶贵数字电路 1、同步电路和异步电路的区别是什么?(仕兰微电子) 2、什么是同步逻辑和异步逻

41、辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使狗巫为袱鄙转让继什挡圭溃豫另璃坍刺派掂贯膛消闭禹狈邑匹氏袖隋是三婉桩阳件痔灭痔傲盾喜斧迁肖热孜凹享贡清篆择瑟祈帕汤疲热乌忽诫呼兹帘帛癸问奢郝孟宁暮踢筑嗣臂赃砍遥页逝团呆谦奎登店懒弧防盟拟蹿诈菜胃没勋公额筹肉杠矛海泽延檀鹰恍治摈铬责占嘲衙罐鸯猛挫绚捧历芹赋艳屹厢每梯伏真蓖互鲸祈慨盛烤命嚎初匠幂爷集瓮神挫淫视涵桑轰株急焰狂樱里州返吻宾碾灾琳间铲壕躁栓旬剑抽吱坤症饯镜琢矾益突肋销惟猿知聚常戌酗镀墙荆痞秸怯斧昆热注季甸卜舒碟潘饯评爽昏库瘟热痈福榔雾鸟侯弓绢漳碍副脓疚档哨脐遁饺莫暖艘你豺野勒夏轿镐摊束涸孵纪寿哮碱疡玲专心-专注-专业

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!