EDA课程设计—彩灯控制器(共10页)

上传人:9** 文档编号:52485574 上传时间:2022-02-08 格式:DOC 页数:10 大小:1.78MB
收藏 版权申诉 举报 下载
EDA课程设计—彩灯控制器(共10页)_第1页
第1页 / 共10页
EDA课程设计—彩灯控制器(共10页)_第2页
第2页 / 共10页
EDA课程设计—彩灯控制器(共10页)_第3页
第3页 / 共10页
资源描述:

《EDA课程设计—彩灯控制器(共10页)》由会员分享,可在线阅读,更多相关《EDA课程设计—彩灯控制器(共10页)(10页珍藏版)》请在装配图网上搜索。

1、精选优质文档-倾情为你奉上燕 山 大 学EDA课程设计报告书题目: 彩灯控制器 姓名: 班级: 成绩: 一设计要求具备两种彩灯花样显示,通过功能切换按钮选择花样。花样一要求:1有十只LED,L0L92显示方式(初态为全亮):先奇数灯依次灭(灭的灯当下一个灯动作时,本灯回复原状态)再偶数灯依次灭(同上)再由L0到L9依次灭(同上)3显示间隔0.5S,1S可调。花样二要求:1有八只LED,L0L72显示顺序如下表3显示间隔为0.5S,1S可调。序号L0L1L2L3L4L5L6L7011111110101111111210111111311011111411101111511110111611111

2、01171111110181111111091111111110011111111100111111120001111113000011111400000111150000001116000000011700000000181000000019110000002011100000211111000022111110002311111100241111111025100000002601000000270010000028000100002900001000300000010031000000103200000001二、设计过程及内容总体设计思路:彩灯控制器的总电路图主要由三大模块组成,分别是分

3、频和选频模块、花样一模块和花样二模块。1、分频和选频模块 (1)、分频模块 利用三个74160做成183进制的计数器,将732HZ的频率分成4HZ的频率,再在4HZ的基础上,利用T触发器,调节信号的占空比,得到2Hz的Y信号。电路图如下:仿真图: (2)、选频模块利用JK触发器上升沿触发将Y信号改变成1Hz的信号,再用M信号控制1Hz和2Hz信号的选择,即题目要求中实现时间间隔0.5s和1s可调。控制端M为低电平时,输出2HZ的脉冲;控制端M为高电平时,输出1HZ的脉冲。电路图如下:仿真图:M为低电平时:M为高电平时:分频和选频模块合并后的电路图为:2、花样一模块 花样一中有两大模块:计数模块

4、和控制模块。 (1)、计数模块 用一片76161制成11进制计数器,其中进位信号经过JK触发器得到占空比为1:2的C信号,作为控制模块的片选端输入,输出的QA、QB、QC、QD作为控制模块的输入。电路图为:仿真图: (2)、控制模块用计数模块输出的C信号控制两片74154译码器轮流工作。当C为低电平时,第一片译码器工作,实现十个彩灯的初态全亮、奇数灯依次灭和偶数灯依次灭;当C为高电平时,第二片译码器工作,实现十个彩灯的依次灭。两片译码器的输出端用逻辑门与彩灯相连。电路图如下:花样一模块的电路图为:仿真图为:3、花样二模块 (1)、花样二中用两片74161制成一个33进制计数器,用来实现题目中3

5、3种状态的循环。电路图如下: (2)、利用9片74138制成664线译码器,其输入端由计数器输出的QF、QE、QD、QC、QB、QA控制。电路图如下: (3)、利用与门和与非门制成8个有17个输入端的与非门,输出端与8个彩灯相连,输入端根据每个彩灯33种状态的真值表与译码器相连。电路图如下:仿真图如下:4、将上述的三大模块用一定的逻辑门电路连接,N信号控制花样一与花样二,当N为高电平时,花样一工作;当N为低电平时,花样二工作。得到总电路图:仿真图:当N为高电平时当N为低电平时三、设计结论刚拿到这个题目的时候觉得很简单也很熟悉。可是看似简单的题目在开始的一周并没有什么实质进展。首先我们对如何分频

6、和选频的概念是模糊的,查阅了资料有了了解后分频和选频模块做出来了。花样一我们用了最保守的方法,用计数器和译码器和逻辑门电路实现了。花样二我们起初用的是计数器,四个移位寄存器和级联的数据选择器去实现的,但是因为中间的控制电路没做好仿真波形始终都出现不了。咨询了老师,我们又加以改进用逻辑门电路实现控制,出现了33种状态所分的四组状态但是顺序是颠倒的。又加以改进想用计数器去实现控制,但实现有困难。绕了一大圈最后我们决定仿照花样一,用计数器和扩展的译码器和一定的逻辑门电路终于实现了花样二。过程其中,花样一和花样二模块在仿真时遇到了个别灯的输出不正常的问题,经改进后正确输出了波形了,但是在三个模块组合后

7、仿真波形却出不来。这两个问题都是因为逻辑电路出错了。经改进最终实现了花样一和花样二的状态转换也实现了题目要求。那一刻真的由衷的高兴。一个看似简单的课设题目,在设计过程中几乎每一步都遇到了阻碍,可是真的做出来时又觉得只要选对方法和思路一切又都迎刃而解了。这次为期三周的EDA课程设计对于我们来说不仅是一次学习的机会,也是一次锻炼我们的实践机会。它在我们死学课本的基础上,开拓学生的动手能力,真正意义上是知识应用于实践。在这个课程设计的过程中我们也并没有想象的那么容易的完成,期间我们不仅查阅了大量的资料来了解课程设计的题目,还有一些技术方面的知识。还遇到了各种样式的问题,如maxplus2软件的应用操作,概念上的模糊,实际操作的设计,每个模块的联合,还有最初把不同的模块分开来放,都给我们的实际操作带来了极大的困难。不过在这个艰辛的过程中,我们还是在团队的积极配合中完成了任务。最后,我们在课程设计的过程中难免会遇到各种各样的问题,期间有老师的专门耐心指导,特此谢谢老师的辛苦工作,帮助我们解决困难。专心-专注-专业

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!