半导体微电子专业词汇中英文对照概要

上传人:痛*** 文档编号:51432261 上传时间:2022-01-26 格式:DOC 页数:43 大小:110.01KB
收藏 版权申诉 举报 下载
半导体微电子专业词汇中英文对照概要_第1页
第1页 / 共43页
半导体微电子专业词汇中英文对照概要_第2页
第2页 / 共43页
半导体微电子专业词汇中英文对照概要_第3页
第3页 / 共43页
资源描述:

《半导体微电子专业词汇中英文对照概要》由会员分享,可在线阅读,更多相关《半导体微电子专业词汇中英文对照概要(43页珍藏版)》请在装配图网上搜索。

1、半导体微电子专业词汇中英文对照43Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区 Accumulation layer 积累层Acoustic Surface Wave 声表面波Active region 有源区Active component 有源元Active device 有源器件 Activation 激活Activation energy 激活能Active region 有源(放大)区A/D

2、conversion 模拟-数字转换Adhesives 粘接剂Admittance 导纳 Aging 老化Airborne 空载Allowed band 允带allowance 容限,公差Alloy-junction device合金结器件Aluminum(Aluminum) 铝Aluminum oxide 铝氧化物 Aluminum Nitride 氮化铝Aluminum passivation 铝钝化 Ambipolar 双极的 Ambient temperature 环境温度 A M light 振幅调制光,调幅光amplitude limiter 限幅器Amorphous 无定形的,非

3、晶体的Amplifier 功放 放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极 Antenna 天线Aperture 孔径Arsenide (As) 砷Array 阵列Atomic 原子的Atom Clock 原子钟Attenuation 衰减Audio 声频Auger 俄歇Automatic 自动的Automotive 汽车的 Availability 实用性Avalanche 雪崩Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发

4、 Background carrier 本底载流子Background doping 本底掺杂Backward 反向 Backward bias 反向偏置Ball bond 球形键合Band 能带Band gap 能带间隙Bandwidth 带宽Bar 巴条 发光条Barrier 势垒Barrier layer 势垒层 Barrier width 势垒宽度Base 基极Base contact 基区接触 Base stretching 基区扩展效应 Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulat

5、ion基区宽度调制 Batch 批次Battery 电池Beam 束 光束 电子束Bench 工作台 Bias 偏置 Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管 Bit 位 比特Blocking band 阻带 Body - centered 体心立方 Body-centred cubic structure 体立心结构 Boltzmann 波尔兹曼 Bond 键、键合Bonding e

6、lectron 价电子 Bonding pad 键合点Boron 硼Borosilicate glass 硼硅玻璃 Bottom-up 由下而上的Boundary condition 边界条件Bound electron 束缚电子 Bragg effect 布拉格效应Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊 FBrillouin zone 布里渊区 Buffer 缓冲器Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk gene

7、ration 体产生Bulk recombination 体复合Burn-in 老化Burn out 烧毁 Buried channel 埋沟 Buried diffusion region 隐埋扩散区Bus 总线Calibration 校准,检定,定标、刻度,分度Capacitance 电容Capture cross section 俘获截面 Capture carrier 俘获载流子Carbon dioxide (CO2) 二氧化碳Carrier 载流子、载波Carry bit 进位位Cascade 级联Case 管壳 Cathode 阴极Cavity 腔体Center 中心Ceramic

8、 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemical etching 化学腐

9、蚀法Chemically-Polish 化学抛光Chemically-Mechanically Polish (CMP) 化学机械抛光Chemical vapor deposition (cvd)化学汽相淀积Chip 芯片Chip yield 芯片成品率Circuit 电路Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clean 清洗Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-loop gain 闭环增益Coating 涂覆 涂层Coefficient of th

10、ermal expansion 热膨胀系数Coherency 相干性Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入 Common-mode rejection ratio (CMRR) 共模抑制比Communication 通信 Compact 致密的Compatib

11、ility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS) 互补金属氧化物半导体场效应晶体管Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试 /制造Component 元件Compound S

12、emiconductor 化合物半导体Conductance 电导Conduction band (edge) 导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 结构Conlomb 库仑Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Continuous wave 连续波Continuity equation 连续性方程Contact hole 接触孔Contact potential

13、接触电势Controlled 受控的Converter 转换器Conveyer 传输器Cooling 冷却Copper interconnection system 铜互连系统Corrosion 腐蚀Coupling 耦合 Covalent 共阶的Crossover 交叉 Critical 临界的 Cross-section 横断面Crucible坩埚Cryogenic cooling system 冷却系统Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Cubic crystal system 立方晶系Current density

14、电流密度Curvature 曲率Current drift/drive/sharing 电流漂移/驱动/共享Current Sense 电流取样Curve 曲线Custom integrated circuit 定制集成电路Cut off 截止Cylindrical 柱面的Czochralshicrystal 直立单晶 Czochralski technique 切克劳斯基技术(Cz法直拉晶体J))Dangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Decade 十进制Decibel (dB) 分贝Decode 解码Deep acceptor lev

15、el 深受主能级Deep donor level 深施主能级Deep energy level 深能级Deep impurity level 深度杂质能级 Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接

16、触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Detector 探测器Developer 显影剂Diamond 金刚石Die 芯片(复数dice)Diode 二极管Dielectric Constant 介电常数Dielectric isolation 介质隔离Difference-mode input 差模输入Differe

17、ntial amplifier 差分放大器Differential capacitance 微分电容Diffraction 衍射Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dimension (1)尺寸(2)量钢(3)维,度Diode 二极管Dipole domain 偶极畴Dipole layer 偶极层Direct-couplin

18、g 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Directional antenna 定向天线Discharge 放电Discrete component 分立元件Disorder 无序的Display 显示器Dissipation 耗散Dissolution 溶解Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗

19、尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Dose 剂量Double-diffusive MOS(DMOS)双扩散MOSDrift 漂移 Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Dual-polarization 双偏振,双极化Duty cycle 工作周期Dual-in-line package (DIP) 双列直插式封装Dynamics 动态Dynamic characteristics 动

20、态属性Dynamic impedance 动态阻抗Early effect 厄利效应Early failure 早期失效 Effect 效应Effective mass 有效质量 Electric Erase Programmable Read Only Memory(E2PROM) 电可擦除只读存储器Electrode 电极Electromigration 电迁移Electron affinity 电子亲和势Electron-beam 电子束Electroluminescence 电致发光Electron gas 电子气Electron trapping center 电子俘获中心Elect

21、ron Volt (eV) 电子伏Electro-optical 光电的Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体 Ellipse 椭圆 Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy mom

22、entum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOSEnteric (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Epoxy 环氧的Equivalent circuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Equipment 设备Erasable Programmable ROM (EPROM)可搽取(编程)存储器Erbium

23、 laser 掺铒激光器Error function complement 余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Exponential 指数的Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体Fabry-Perot amplifier 法布里-珀罗放大器Face - centered 面心立方 Fall time 下降时间Fan-in 扇入 Fa

24、n-out 扇出Fast recovery 快恢复 Fast surface states 快表面态Feedback 反馈 Fermi level 费米能级Femi potential 费米势Fiber optic 光纤Field effect transistor 场效应晶体管Field oxide 场氧化层 Figure of merit 品质因数Filter 滤波器Filled band 满带Film 薄膜 Fine pitch 细节距Flash memory 闪存存储器Flat band 平带 Flat pack 扁平封装Flatness 平整度Flexible 柔性的Flicker

25、noise 闪烁(变)噪声 Flip-chip 倒装芯片Flip- flop toggle 触发器翻转Floating gate 浮栅 Fluoride etch 氟化氢刻蚀Focal plane 焦平面Forbidden band 禁带 Formulation 列式,表达Forward bias 正向偏置Forward blocking /conducting 正向阻断/导通Free electron 自由电子Frequency deviation noise 频率漂移噪声Frequency response 频率响应 Function 函数Gain 增益 Gallium-Arsenide(

26、GaAs) 砷化镓Gallium Nitride 氮化镓Gate 门、栅、控制极Gate oxide 栅氧化层 Gate width 栅宽Gauss(ian) 高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸 Germanium(Ge) 锗Gold 金Graded 缓变的 Graded (gradual) channel 缓变沟道Graded junction 缓变结 Grain 晶粒Gradient 梯度 Graphene 石墨烯Grating 光栅Green laser 绿

27、光激光器Ground 接地Grown junction 生长结Guard ring 保护环 Guide wave 导波 波导Gunn - effect 狄氏效应Gyroscope 陀螺仪Hardened device 辐射加固器件 Harmonics 谐波Heat diffusion 热扩散Heat sink 散热器、热沉 Heavy/light hole band 重/轻 空穴带Hell - effect 霍尔效应Hertz 赫兹Heterojunction 异质结 Heterojunction structure 异质结结构Heterojunction Bipolar Transistor

28、(HBT)异质结双极型晶体High field property 高场特性High-performance MOS(H-MOS)高性能MOS器件High power 大功率Hole 空穴Homojunction 同质结Horizontal epitaxial reactor 卧式外延反应器 Hot carrier 热载流子Hybrid integration 混合集成Illumination (1)照明(2)照明学Image - force 镜象力 Impact ionization 碰撞电离Impedance 阻抗 Imperfect structure 不完整结构Implantation

29、dose 注入剂量 Implanted ion 注入离子Impurity 杂质 Impurity scattering 杂志散射Inch 英寸Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Index of refraction 折射率Indium 铟Indium tin oxide (ITO) 铟锡氧化物 Inductance 电感Induced channel 感应沟道Infrared 红外的 Injection 注入Input power 输入功率Insertion loss 插入损耗Insulator 绝缘体Insulated

30、 Gate FET(IGFET) 绝缘栅FETIntegrated injection logic 集成注入逻辑Integration 集成、积分 Integrated Circuit 集成电路Interconnection 互连Interconnection time delay 互连延时 Interdigitated structure 交互式结构Interface 界面 Interference 干涉International system of unions 国际单位制 Internally scattering 谷间散射Interpolation 内插法 Intrinsic 本征的I

31、ntrinsic semiconductor 本征半导体 Inverse operation 反向工作Inversion 反型 Inverter 倒相器Ion 离子 Ion beam 离子束Ion etching 离子刻蚀 Ion implantation 离子注入Ionization 电离 Ionization energy 电离能Irradiation 辐照 Isolation land 隔离岛Isotropic 各向同性Junction FET(JFET) 结型场效应管 Junction isolation 结隔离Junction spacing 结间距 Junction side-wa

32、ll 结侧壁Laser 激光器Laser diode 激光二极管Latch up 闭锁 Lateral 横向的Lattice 晶格 Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Lead 铅Leakage current (泄)漏电流 Life time 寿命 linearity 线性度Linked bond 共价键 Liquid Nitrogen 液氮Liquidphase epitaxial growth technique 液相外延生长技术Lithography 光刻

33、Light Emitting Diode(LED) 发光二极管Linearity 线性化Liquid 液体Lock in 锁定Longitudinal 纵向的 Long life 长寿命Lumped model 集总模型Magnetic 磁的Majority carrier 多数载流子 Mask 掩膜板,光刻板Mask level 掩模序号 Mask set 掩模组Mass - action law 质量守恒定律 Master-slave D flip-flop 主从D 触发器Matching 匹配 Material 材料Maxwell 麦克斯韦Mean free path 平均自由程 Mea

34、n time before failure (MTBF) 平均工作时间Mechanical 机械的Membrane (1)薄腊,膜片(2)隔膜Megeto - resistance 磁阻 Mesa 台面MESFET-Metal Semiconductor 金属半导体FETMetalorganic Chemical Vapor Deposition MOCVD 金属氧化物化学汽相淀积Metallization 金属化 Metal oxide semiconductor (MOS)金属氧化物半导体MeV 兆电子伏Microelectronic technique 微电子技术Microelectro

35、nics 微电子学 Microelectromechanical System (MEMS) 微电子机械系统 Microwave 微波Millimeterwave 毫米波Minority carrier 少数载流子 Misfit 失配Mismatching 失配 Mobility 迁移率 Module 模块Modulate 调制 Molecular crystal 分子晶体Monolithic IC 单片MOSFET 金属氧化物半导体场效应晶体管Mount 安装Multiplication 倍增Modulator 调制 Multi-chip IC 多芯片ICMulti-chip module(

36、MCM) 多芯片模块 Multilayer 多层Multiplication coefficient 倍增因子Multiplexer 复用器Multiplier 倍增器Naked chip 未封装的芯片(裸片) Nanometer 纳米Nanotechnology 纳米技术Negative feedback 负反馈Negative resistance 负阻 Negative-temperature-coefficient负温度系数Nesting 套刻Noise figure 噪声系数Nonequilibrium 非平衡 Nonvolatile 非挥发(易失)性Normally off/on

37、常闭/开 Nuclear 核Numerical analysis 数值分析Occupied band 满带 Offset 偏移、失调 On standby 待命状态Ohmic contact 欧姆接触 Open circuit 开路Operating point 工作点 Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon 光子 Optical quenching 光猝灭Optical transition 光跃迁 Optical-coupled isolator 光耦合隔离器Organic semiconduc

38、tor 有机半导体 Orientation 晶向、定向Oscillator 振荡器Outline 外形 Out-of-contact mask 非接触式掩模Output characteristic 输出特性 Output power 输出功率Output voltage swing 输出电压摆幅Overcompensation 过补偿 Over-current protection 过流保护Over shoot 过冲 Over-voltage protection 过压保护Overlap 交迭 Overload 过载Oscillator 振荡器 Oxide 氧化物Oxidation 氧化 O

39、xide passivation 氧化层钝化Package 封装 Pad 压焊点Parameter 参数 Parasitic effect 寄生效应Parasitic oscillation 寄生振荡 Pass band 通带Passivation 钝化Passive component 无源元件 Passive device 无源器件Passive surface 钝化界面 Parasitic transistor 寄生晶体管Pattern 图形Payload 有效载荷Peak-point voltage 峰点电压 Peak voltage 峰值电压Permanent-storage cir

40、cuit 永久存储电路 Period 周期Permeable - base 可渗透基区Phase-lock loop 锁相环 Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导 Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photonic devices 光子器件 Photolithographic process 光刻工艺Photoluminescence 光致发光Photo resist (光敏)抗腐蚀剂 Photo mask 光掩模Piezoelect

41、ric effect 压电效应Pin 管脚Pinch off 夹断 Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺 Planar transistor 平面晶体管Plasma 等离子体 Plane 平面的Plasma 等离子体Plate 板 电路板P-N junction pn结Poisson equation 泊松方程 Point contact 点接触Polarity 极性 Polycrystal 多晶Polymer semiconductor 聚合物半导体 Poly-silicon 多晶硅Positive 正的Potential

42、 (电)势 Potential barrier 势垒Potential well 势阱 Power electronic devices电力电子器件Power dissipation 功耗Power transistor 功率晶体管 Preamplifier 前置放大器Primary flat 主平面 Print-circuit board(PCB) 印制电路板 Probability 几率Probe 探针 Procedure 工艺Process 工艺Projector 投影仪Propagation delay 传输延时 Proton 质子Proximity effect 邻近效应Pseudo

43、potential method 赝势法Pump 泵浦Punch through 穿通 Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制Punchthrough 穿通 Push-pull stage 推挽级Q Q值Quality factor 品质因子 Quantization 量子化Quantum 量子 Quantum efficiency 量子效应Quantum mechanics 量子力学 Quasi Fermilevel 准费米能级Quartz 石英Radar 雷达Radiation conduct

44、ivity 辐射电导率 Radiation damage 辐射损伤Radiation flux density 辐射通量密度 Radiation hardening 辐射加固Radiation protection 辐射保护 Radiative - recombination 辐照复合Radio 无线电 射电 射频Radio-frequency RF 射频Raman 拉曼Random 随机Range 测距Radio 比率 系数Ray 射线Reactive sputtering source 反应溅射源 Real time 实时Receiver 接收机Recombination 复合 Recov

45、ery diode 恢复二极管Record 记录Recovery time 恢复时间Rectifier 整流器(管) Rectifying contact 整流接触Red light 红光Reference 基准点 基准 参考点 Refractive index 折射率Register 寄存器 Regulate 控制 调整 Relative 相对的Relaxation 驰豫Relaxation lifetime 驰豫时间Relay 中继Reliability 可靠性 Remote 远程Repeatability 可重复性Reproduction 重复制造Residual current 剩余电

46、流Resonance 谐振Resin 树脂Resistance 电阻 Resistor 电阻器Resistivity 电阻率 Regulator 稳压管(器)Resolution 分辨率Response time 响应时间 Return signal 回波信号Reverse 反向的Reverse bias 反向偏置Ribbon 光纤带Ridge waveguide 脊形波导Ring laser 环形激光器Rotary wave 旋转波Run 运行Sampling circuit 取样电路 Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷 Saturated cur

47、rent range 电流饱和区Scan 扫描Scaled down 按比例缩小 Scattering 散射Schematic layout 示意图,简图Schottky 肖特基Schottky barrier 肖特基势垒 Schottky contact 肖特基接触Screen 筛选Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶 Segregation 分凝Selectivity 选择性 Self aligned 自对准的Self diffusion 自扩散 Semiconductor 半导体Semiconductor laser半导体激

48、光器Semiconductor-controlled rectifier 半导体可控硅 Sensitivity 灵敏度Sensor 传感器Serial 串行/串联 Series inductance 串联电感Settle time 建立时间 Sheet resistance 薄层电阻Shaping 成型Shield 屏蔽 Shifter 移相器Short circuit 短路Shot noise 散粒噪声 Shunt 分流Sidewall capacitance 边墙电容 Signal 信号Silica glass 石英玻璃 Silicon 硅Silicon carbide 碳化硅 Silic

49、on dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅 Silicon On Insulator 绝缘体上硅Silver whiskers 银须 Simple cubic 简立方Simulation 模拟Single crystal 单晶 Sink 热沉Sinter 烧结Skin effect 趋肤效应 Slot 槽隙Slow wave 慢波Smooth 光滑的Subthreshold 亚阈值的Solar battery/cell 太阳能电池 Solid circuit 固体电路Solid Solubility 固溶度 Solution 溶液Sonba

50、nd 子带Source 源极 Source follower 源随器Space charge 空间电荷 Space Craft 宇宙飞行器Spacing 间距Specific heat(PT) 比热Spectral 光谱Spectrum 光谱(复数)Speed-power product 速度功耗乘积 Spherical 球面的Spin 自旋 Split 分裂Spontaneous emission 自发发射 Spot 斑点Spray 喷涂Spreading resistance 扩展电阻Sputter 溅射 Square root 平方根Stability 稳定性Stacking fault

51、 层错Standard 标准的Standing wave 驻波State-of-the-art 最新技术Static characteristic 静态特性 Statistical analysis 统计分析Steady state 稳态Step motor 步进式电动机Stimulated emission 受激发射Stimulated recombination 受激复合 Stopband 阻带Storage time 存储时间Stress 应力 Stripline 带状线Subband 次能带Sublimation 升华 Submillimeter 亚毫米波Substrate 衬底Sub

52、stitutional 替位式的 Superconductor 超导(电)体Superlattice 超晶格Supply 电源 Surface mound表面安装Surge capacity 浪涌能力 Switching time 开关时间 Switch 开关Synchronizer 同步器,同步装置Synthetic-aperture 合成孔径System 系统Technical 技术的,工艺的Telecommunication 远距通信,电信Telescope 望远镜Terahertz 太赫兹Terminal 终端Template 模板Temperature 温度Tensor 张量 Tes

53、t 测试 试验Thermal activation 热激发 Thermal conductivity 热导率Thermal equilibrium 热平衡 Thermal Oxidation 热氧化Thermal resistance 热阻 Thermal sink 热沉Thermal velocity 热运动 Thick- film technique 厚膜技术 Thin- film hybrid IC 薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体 Three dimension 三维Threshold 阈值Through Silicon Via 硅通孔Thy

54、istor 晶闸管 Time resolution 时间分辨率Tolerance 公差T/R module 发射/接收模块Transconductance 跨导Transfer characteristic 转移特性 Transfer electron 转移电子Transfer function 传输函数 Transient 瞬态的Transistor aging(stress) 晶体管老化 Transit time 渡越时间Transition 跃迁 Transition-metal silica 过度金属硅化物Transition probability 跃迁几率 Transition r

55、egion 过渡区Transmissivity 透射率Transmitter 发射机Transceiver 收发机Transport 输运 Transverse 横向的Trap 陷阱 Trapping 俘获Trapped charge 陷阱电荷 Travelling wave 行波Trigger 触发Trim 调配 调整 Triple diffusion 三重扩散Tolerance 容差Tube 管子 电子管Tuner 调节器Tunnel(ing) 隧道(穿) Tunnel current 隧道电流Turn - off time 关断时间Ultraviolet 紫外的 Ultrabright

56、超亮的Ultrasonic 超声的Underfilling 下填充Undoped 无掺杂Unijunction 单结的Unipolar 单极的 Unit cell 原(元)胞Unity- gain frequency 单位增益频率 Unilateral-switch 单向开关Vacancy 空位 Vacuum 真空Valence(value) band 价带Value band edge 价带顶Valence bond 价键 Vapour phase 汽相Varactor 变容管 Variable 可变的Vector 矢量Vertical 垂直的Vibration 振动Visible light 可见光Voltage 电压Volt 伏特Wafer 晶片 Watt 瓦Wave guide 波导 Wavelength 波长Wave-particle duality 波粒二相性 Wear-out 烧毁Wetting 浸润Wideband 宽禁带Wire 引线Wire routing 布线 Work function 功函数Worst-case device 最坏情况器件X-ray X射线Yield 成品率Zinc 锌

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!