基于单片机的波形生器的设计与实现

上传人:阳*** 文档编号:50853641 上传时间:2022-01-22 格式:DOC 页数:21 大小:452KB
收藏 版权申诉 举报 下载
基于单片机的波形生器的设计与实现_第1页
第1页 / 共21页
基于单片机的波形生器的设计与实现_第2页
第2页 / 共21页
基于单片机的波形生器的设计与实现_第3页
第3页 / 共21页
资源描述:

《基于单片机的波形生器的设计与实现》由会员分享,可在线阅读,更多相关《基于单片机的波形生器的设计与实现(21页珍藏版)》请在装配图网上搜索。

1、1 / 21 文档可自由编辑打印波形波形发发生器生器设计设计摘要摘要波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。目前使用的信号发生器大部分是函数信号发生器,且特殊波形发生器的价格昂贵。所以本设计使用的是 DAC0832 芯片构成的发生器,可产生三角波、方波、正弦波等多种特殊波形和任意波形,波形的频率可用程序控制改变。在单

2、片机上加外围器件距阵式键盘,通过键盘控制波形频率的增减以及波形的选择,并用了 LCD 显示频率大小。在单片机的输出端口接 DAC0832 进行 D/A 转换,再通过运放进行波形调整,最后输出波形接在示波器上显示。本设计具有线路简单、结构紧凑、价格低廉、性能优越等优点。本设计制作的波形发生器,可以输出多种标准波形,如方波、正弦波、三角波、锯齿波等,还可以输出任意波形,如用鼠标创建的一个周期的非规则波形或用函数描述的波形等,输出的波形的频率、幅度均可调,且能脱机输出。设计的人机界面不但清晰美观,而且操作方便。关键词关键词:波形发生器;DAC0832;单片机;波形调整目录目录一、设计目的及意义一、设

3、计目的及意义.- 3 -1.1 设计目的.- 3 -1.2 设计意义.- 3 -二、方案论证二、方案论证.- 4 -2.1 设计要求.- 4 -2 / 21 文档可自由编辑打印2.2 方案论证.- 4 -三、硬件电路设计三、硬件电路设计.- 5 -3.1 设计思路、元件选型.- 5 -3.2 原理图.- 5 -3.3 主要芯片介绍.- 6 -3.4 硬件连线图.- 10 -四、软件设计四、软件设计.- 10 -4.1 锯齿波的产生过程.- 11 -4.2 三角波产生过程.- 13 -4.3 方波的产生过程.- 14 -4.4 正弦波的产生过程.- 16 -4.5 通过开关实现波形切换和调频、调

4、幅.- 18 -五、调试与仿真五、调试与仿真.- 20 -5.1 仿真结果.- 21 -六、总结六、总结.- 22 -七、参考文献:七、参考文献:.- 23 -一、设计目的及意义一、设计目的及意义1.1 设计目的设计目的(1)利用所学微机的理论知识进行软硬件整体设计,锻炼学生理论联系实际、提高我们的综合应用能力。3 / 21 文档可自由编辑打印 (2)我们这次的课程设计是以微机为基础,设计并开发能输出多种波形(正弦波、三角波、锯齿波、方波、梯形波等)且频率、幅度可变的函数发生器。 (3)掌握各个接口芯片(如 0832 等)的功能特性及接口方法,并能运用其实现一个简单的微机应用系统功能器件。 (

5、4)在平时的学习中,我们所学的知识大都是课本上的,在机房的练习大家也都是分散的对各个章节的内容进行练习。因此,缺乏一种系统的设计锻炼。在课程所学结束以后,这样的课程设计十分有助于学生的知识系统的总结到一起。 (5)通过这几个波形进行组合形成了一个函数发生器,使得我对系统的整个框架的设计有了一个很好的锻炼。这不仅有助于大家找到自己感兴趣的题目,更可以锻炼大家微机知识的应用。1.2 设计意义设计意义波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都学要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器

6、件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而广泛用于通信、雷达、导航、宇航等领域。二、方案论证二、方案论证2.1 设计要求设计要求使用计算机和数模转换器构成信号发生器,可以产生方波、三角波、锯齿波和正弦波等多种波形,波形的周期、频率可调。要求完成计算机和 DAC 的选型,了解不同波形的产生原理和设计方案,画出硬件电路图,并编程完成软件部分,最后调试观察产生不同类型的波形信号。(1)课程设计论文内容要正确,概念要清楚;(2)完成任务书所规定的内容;(

7、3)附有电路原理图及程序流程图,以及程序清单;(4)文字要通顺,书写要工整,设计图纸必须符合规范2.2 方案论证方案论证信号发生器的实现方法通常有以下几种:方案一:用分立元件组成的函数发生器:通常是单函数发生器且频率不高,4 / 21 文档可自由编辑打印其工作不很稳定,不易调试。方案二:可以由晶体管、运放 IC 等通用器件制作,更多的则是用专门的函数信号发生器 IC 产生。早期的函数信号发生器 IC,如L8038、BA205、XR2207/2209 等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。方案三

8、:利用专用直接数字合成芯片的函数发生器:能产生任意波形并达到很高的频率。但成本较高。方案四:采用 AT89C51 单片机和 DAC0832 芯片,直接连接键盘和显示。该种方案主要对 AT89C51 单片机的各个 I/O 口充分利用. P1 口是连接键盘以及接显示电路,P2 口连接 DAC0832 输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。综合考虑,方案四各项性能和指标都优于其他几种方案,能使输出频率有较好的稳定性,充分体现了模块化设计的要求,而且这些芯片及器件均为通

9、用器件,在市场上较常见,价格也低廉,样品制作成功的可能性比较大,所以本设计采用方案四。三、硬件电路设计三、硬件电路设计3.1 设计思路、元件选型设计思路、元件选型设计思路:设计思路:(一) 、课设需要各个波形的基本输出。如输出锯齿波、三角波、方波、正弦波。这些波形的实现的具体步骤:锯齿波实现很简单,只需要一开始定义一个初值,然后不断的加 1,当溢出后又重初值开始加起,就这样循环下去。三角波的实验过程是先加后减,实现方法是先是从 00H 开始加 1 直到溢出后就执行减 1 操作,就这样不断调用这个循环。方波的实现方法是连续输出一个数,到某个时候就改变一下值,可以把值定义为正极性的,也可以是负极性

10、。正弦波的实现是非常麻烦的。它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。(二) 、通过 P1 口和开关 K0-K4 相连接来控制各个波形的输出。能根据 k0-k5键状态进行波形切换,开关键向上接“1” ,产生波形,向下拔接“0” ,无波形输出。如 K0 键向上拔,K1-K4 键向下拔,产生锯齿波; K1 键向上拔,K0、K2-K4 键向下拔,产生三角波;以此类推。5 / 21 文档可自由编辑打印元件选型:元件选型:单片机 AT89C51 系统,DAC0832 一片,PC 机一台,运算放大器3.2 原理图原理图3.3 主要芯片介绍主要芯片介绍(1) 、DAC0832 芯

11、片介绍:0832 采用双缓冲接口方式,其传送控制端接地,输入所存允许断 ILE 与+5V 电源相连,利用一个地址码进行二次输出操作,完成数据的传送和激动转换,第一次操作室 P2.6 为高电平,将 P0 口数据线上的数据锁存于 DAC0832 的输入寄存器中。第二次操作是写控制信号由效,传送控制端为低电平,将输入寄存器中的内容锁存入 0832 的 DAC 寄存器中,D/A 转换器便开始对锁存于 DAC寄存器的 8 位数据进行转换,约经过 1/2 时钟周期后,在输出端(IOUT2、IOUT1)建立稳定的电流输出。运放的作用是将 0832 输出的模拟电流信号转换为电压波形。DAC0832 为一个 8

12、 位 D/A 转换器,单电源供电,在+5+15V范围内均可正常工作。基准电压的范围为10V,电流建立时间为 1s,CMOS 工艺,低功耗 20mW。DAC0832 的内部结构框图如下图所示。开开关关AT89C51D/A转转换换运运放放各各种种波波形形各各种种波波形形输输出出6 / 21 文档可自由编辑打印图 2-2 DAC0832 工作原理图DAC0832 的外部引脚及功能介绍图如下:VREF8GND3VCC20CS1WR12DI34DI25DI16D107RFB9GND10IOUT111IOUT212DI713DI614DI515DI416XFER17WR218ILE(BY1/BY2)19U

13、1DAC0832图 2-3 DAC0832 引脚图DAC0832 内部结构资料:芯片内有两级输入寄存器,使 DAC0832 具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路 D/A 异步输入、同步转换等)。D/A 转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过 RFB 端引用片内固有电阻,还可以外接DI0DI7:数据输入线,TLL 电平。 ILE:数据锁存允许控制信号输入线,高电平有效。 CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低

14、电平有效。 WR2:为 DAC 寄存器写选通输入线。 Iout1:电流输出线。当输入全为 1 时 Iout1 最大。 Iout2: 电流输出线。其值与 Iout1 之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v+15v) 7 / 21 文档可自由编辑打印Vref:基准电压输入线 (-10v+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好(2)单片机 AT89C51 介绍:AT89C51 是一种带 4K 字节闪存可编程可擦除只读存储器(FPEROMFlash Programmable and

15、Erasable Read Only Memory)的低电压、高性能 CMOS 8 位微处理器,俗称单片机。AT89C2051 是一种带 2K 字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除 1000 次。该器件采用ATMEL 高密度非易失存储器制造技术制造,与工业标准的 MCS-51 指令集和输出管脚相兼容。由于将多功能 8 位 CPU 和闪烁存储器组合在单个芯片中,ATMEL的 AT89C51 是一种高效微控制器,AT89C2051 是它的一种精简版本。AT89C 单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。外形及引脚排列如下图所示:图 3.4

16、AT89C51 引脚图AT89C51 管脚说明:VCC:供电电压。 GND:接地。 P0 口:P0 口为一个 8 位漏级开路双向 I/O 口,每脚可吸收 8TTL 门电流。当 P1 口的管脚第一次写 1 时,被定义为高阻输入。P0 能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在 FIASH 编程时,P0 口作为原码输入口,当 FIASH 进行校验时,P0 输出原码,此时 P0 外部必须被拉高。 8 / 21 文档可自由编辑打印P1 口:P1 口是一个内部提供上拉电阻的 8 位双向 I/O 口,P1 口缓冲器能接收输出 4TTL 门电流。P1 口管脚写入 1 后,被内部上拉为高

17、,可用作输入,P1 口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1 口作为第八位地址接收。 P2 口:P2 口为一个内部上拉电阻的 8 位双向 I/O 口,P2 口缓冲器可接收,输出 4 个 TTL 门电流,当 P2 口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2 口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2 口当用于外部程序存储器或 16 位地址外部数据存储器进行存取时,P2 口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2 口输出其特殊功能寄存器

18、的内容。P2 口在 FLASH 编程和校验时接收高八位地址信号和控制信号。 P3 口:P3 口管脚是 8 个带内部上拉电阻的双向 I/O 口,可接收输出 4 个TTL 门电流。当 P3 口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3 口将输出电流(ILL)这是由于上拉的缘故。RST:复位输入。当振荡器复位器件时,要保持 RST 脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在 FLASH 编程期间,此引脚用于输入编程脉冲。在平时,ALE 端以不变的频率周期输出正脉冲信号,此频率为振荡器

19、频率的 1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个 ALE 脉冲。如想禁止 ALE 的输出可在 SFR8EH 地址上置 0。此时, ALE 只有在执行 MOVX,MOVC 指令是 ALE 才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态 ALE 禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN 有效。但在访问外部数据存储器时,这两次有效的/PSEN 信号将不出现。 /EA/VPP:当/EA 保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管

20、是否有内部程序存储器。注意加密方式 1 时,/EA 将内部锁定为RESET;当/EA 端保持高电平时,此间内部程序存储器。在 FLASH 编程期间,此引脚也用于施加 12V 编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。3.4 硬件连线图硬件连线图9 / 21 文档可自由编辑打印图 3.5 硬件连线图四、软件设计四、软件设计 总体方框图总体方框图10 / 21 文档可自由编辑打印函数发生器的设计K2键三角波K3键方波K4键正弦波K0键锯齿波 总体设计功能图4.1 锯齿波的产生过程锯齿波的产生过程锯齿波的实现过程是首先定义一个

21、初值然后进行加法操作,加的步数的多少则根据要求的频率来进行。然后加到某个数之后就再重新设置为初值,再重复执行刚刚的操作,如此循环下去。11 / 21 文档可自由编辑打印流程图如下所示:图 3-1 锯齿波产生流程图锯此波发生子程序如下: MOV DPTR,#7FFFH ;端口地址 7FFFH间址寄存器 MOV R7,#0 ;R7 为 0DASAW:MOV A,R7 ;A 清零 MOVX DPTR,A ;写 RAM INC R7 ;R7 寄存器加一 NOP ;空操作 NOP NOP SJMP DASAW ;转移 END定义变量 iuchar ifor(i=0;i255;i+) P0=i判断按键是否

22、为 0否重新设置变量 i是开 始12 / 21 文档可自由编辑打印 4.2 三角波产生过程三角波产生过程三角波的实现是设置一个初值,然后进行加数,同样是加到某个数之后再行减数,减到初值之后就再返回到先前的操作,这个操作跟锯齿波的实现是相似的。此程序输入的 VREF 的电压是5V,因此该波形输出的最大频率是初值为 00H 和最终值为 0FFH,这样输出的波形是最大的。流程图如下图所示:图 3-2 三角波产生流程图三角波发生子程序如下: MOV DPTR,#7FFFH ; 设置 D/A 转换器的端口地址 L1:MOV R7,#00H ;A 清零 MOV A,R7定义变量 iuchar ifor(i

23、=0;i0;i-)判断 p0 是否已满否是延时否/是开 始判断按键是否为 013 / 21 文档可自由编辑打印 L2: MOVX DPTR,A ;写外部存储器 NOP ;延时 NOP NOP INC A ;A 加 1 JNZ L1 ;不等与零则转 L1 L3: MOVX DPTR,A ;写外部存储器4.3 方波的产生过程方波的产生过程此波形的实现更加简单,只需开始的时候设置一个初值然后直接输出这个值就行了,输出一段时间后,然后再重新置一个数据,然后再输出这个数据一段时间,但是此时的时间一定要等于前面那段时间。这样才是一个方波,如果两个时间不相同,那就相当于一个脉冲波了。流程图如下图所示:14

24、/ 21 文档可自由编辑打印开 始循环开始 给 p0 赋值 0 x00延时给 p0 赋值 0 xff延时判断按键是否为 0图 3-3 方波产生流程图方波发生子程序:MOV DPTR,#7FFFH ; 设置 D/A 转换器的端口地址 MOV R7,#FFH ;将#FFH 送 R7FANGB:MOV A,R7 ;给 A 赋值 MOVX DPTR,A ;写 RAM ACALL DELAY ;调用延时子程序 CPL A ;A 取反 MOVX DPTR,A ;写 RAM ACALL DELAY ;调用延时子程序 SJMP FANGB ;返回 FANGBDELAY:MOV R7,#200 ; 延时 50m

25、s DEL1:MOVR6,#123 NOP DEL2:DJNZ R6,DEL2 DJNZ R7,DEL1 RETEND ;程序结束 4.4 正弦波的产生过程正弦波的产生过程正弦波的实现则相对比较复杂,因为正弦波的实现是输出各个点的值就行15 / 21 文档可自由编辑打印了,可是各个点值则要通过正弦函数来求出。输出的数据刚好是 256 个数据,这样则可以直接相加就行了 图 3-4 正弦波产生流程图正弦波发生子程序如下: MOV DPTR,#SINTAB ;正弦表写入内部 RAM6DH-7FH MOV R0,#6DHLOOP: CLR A MOVC A,A+DPTR MOV R0,A INC DP

26、TR开 始定义变量 i如果 i=0;+i=128把 p0 定义为数组tab【i判断按键是否为 0延时为 0 则终止循环开始16 / 21 文档可自由编辑打印 INC R0 CJNE R0,#80H,LOOP MOV DPTR,#7FFFH ;设置 D/A 转换器的端口地址 MOV R0,#6DH ;设置正弦表指针LOOP1: MOV A,R0 ;查表 MOVX DPTR,A ;D/A 转换 ACALL DELAY ;延时,等待转换结束 DEC R0 ;正弦表位移量增量 CJNE R0 #6DH,LOOP1 ;第一象限输出完?LOOP2: MOV A,R0 ;查表 MOVX DPTR,A ;D/

27、A 转换 ALCALL DELAY ;延时,等待转换结束 DEC R0 ;正弦表位移量减量 CJNE R0 #6DH,LOOP2 ;第二象限输出完?LOOP3: MOV A,R0 ;查表 CPLA ;表值取反 MOVX DPTR,A ;D/A 转换 ACLALL DELAY ;延时,等待转换结束 INC R0 ;正弦表位移量增量 CJNE R0,#7FH,LOOP3 ;第三象限输出完?LOOP4:MOV A,R0 ;查表 CPL A ;表值取反 MOVX DPTR,A ;D/A 转换 ALCALL DELAY ;延时,等待转换结束 DEC R0 ;正弦表位移量减量 CJNE R0,#6DH,L

28、OOP4 ;第四象限输出完? SJMP LOOP1DELAY:MOV R7,#200 ; 延时 50ms DEL1:MOVR6,#123 NOP17 / 21 文档可自由编辑打印 DEL2:DJNZ R6,DEL2 DJNZ R7,DEL1 RETSINTAB: DB 7FH,89H,94H,9FH,0AAH,0B4H,0C8H,0D1H,0D9H DB 0E0H,0E7H,0EDH,0F2H,0F7H,0FAH,0FCH,OFEH,0FFHEND4.5 通过开关实现波形切换和调频、调幅通过开关实现波形切换和调频、调幅通过开关实现波形的切换比较简单只需通过输出波形后不断返回到检测开关的子程序中

29、,判断是否有别的开关拨动,如果有别的开关拨动则执行别的程序,否则输出原来的波形,不过如果要能够识别别的开关发生变化,必须将此开关关掉否则会识别不了别的键按下。当然开关的调频和调幅的实现也一样,不过首先先输出一个波形,然后再检测开关是否需要调频或者调幅,如果需要则转入到相应的程序中,最后再重新输出波形。下面是调频和调幅: 18 / 21 文档可自由编辑打印开 始判断 P1.0-p1.1 是否为 1?否是输出各种波形调整一下数字量图 3-5 输出波形原理下图式实现各种波形通过开关的切换的流程图,按下开关通过 P1.0 为 1 则输出锯齿波,P1.1 为 1 输出三角波, P1.2 为 1 输出方波

30、,P1.3 为 1 输出正弦波。开 始判断 P1.0 是否为 1判断 P1.1 是否为 1判断 P1.2 是否为 1否否否否是是是是输出响应的波形判断 P1.3 是否为 1 图 3-6 开关切换波形原理19 / 21 文档可自由编辑打印五、调试与仿真五、调试与仿真本次的设计主要应用了 protues 和 keil c 软件进行系统设计和仿真,经过仿真后,结果较好,示波器可以正确的输出方波、正弦波、三角波、锯齿波,并且频率可调。5.1 仿真结果仿真结果三角波和正弦波的仿真结果如下,其他波形省略。图 4-1 三角波仿真结果图 4-2 正弦波仿真结果20 / 21 文档可自由编辑打印六、总结六、总结

31、本次的设计中利用 AT89C51 和 DAC0832 以及放大器完成电路的设计,用开关来控制各种波形的发生及转换,用单片机输出后,经过模数转换器生成波形,最终可以通过示波器观察。在这次的软件设计中,程序设计采用的是汇编语言。汇编语言具有速度快,可以直接对硬件进行操作的优点,它可以极好的发挥硬件的功能。但是汇编语言也存在编写的代码非常难懂,不好维护,很容易产生 bug,难于调试的缺点。因此,在大型程序的设计中,多采用 C 语言进行程序编译。C 语言简洁高效,是最贴近硬件的高级编程语言,经过多年的发展,现在已成熟为专业水平的高级语言。而且,现在单片机产品推出时纷纷配套了 C 语言编译器,应用广泛。

32、不过就本次课程设计来说,汇编语言还是适用的。由于真正意义上的程序设计还不多,因此还不是很得心应手,所以在设计中遇到一些问题和一些难点。比如:在程序设计中如何实现程序结构的最优化,以达到较高的质量。这是以后设计中要注意的问题。 通过这次课程设计,我进一步了解了波形发生器的原理,在实际动手操作过程中,使我接触了许多我以前没接触过的元件,而且重新温习了刚学不久的汇编语言,使我学得了许多知识,使我获益匪浅。这次课程设计,使我的动手能力得到了很大的提高,更使我们懂得理论知识的重要性,没有理论的指导一切实际行动都是盲目的,且实际操作是我们得到的理论知识得到验证,更能增加对理论知识的理解。 七、参考文献:七

33、、参考文献:1 朱定华,马爱梅,林卫. 微机应用系统设计M. 武汉:华中科技大学出版社,1999:130-1332 顾德英,张健,马淑华. 计算机控制技术M. 北京:北京邮电大学出版社,2006:64-703 夏扬. 计算机控制技术M. 北京:机械工业出版社,2004:11-924 刘国钧,陈绍业,王凤翥.图书馆目录M.北京:高等教育出版社,1957.15-18.5 刘润华,刘立山.模拟电子技术J.山东:石油大学出版社,2003.21 / 21 文档可自由编辑打印6 潘永雄,沙河,刘向阳.电子线路 CAD 实用教程M.西安:西安电子科技大学出版社,2001.13-118. 7 朱定华,戴汝平等,单片微机原理与应用M.北京交通大学出版社,清华大学出版社.8 彭介华.电子技术课程设计指导J.北京:高等教育出版社,1997.9张毅刚,彭喜源,谭晓昀,曲春波.MCS-51 单片机应用设计M.哈尔滨:哈尔滨工业大学出版社,1997.53-61.8江思敏,陈明. Protel 电路设计教程M. 北京:清华大学出版社9彭楚武. 微计原理与接口技术M. 长沙:湖南大学出版社10(美)Richard Blum 著;马朝晖等译;汇编语言程序设计M 北京市:机械工业出版社

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!