数字显示电路设计Word版

上传人:每**** 文档编号:50618853 上传时间:2022-01-21 格式:DOC 页数:23 大小:265.50KB
收藏 版权申诉 举报 下载
数字显示电路设计Word版_第1页
第1页 / 共23页
数字显示电路设计Word版_第2页
第2页 / 共23页
数字显示电路设计Word版_第3页
第3页 / 共23页
资源描述:

《数字显示电路设计Word版》由会员分享,可在线阅读,更多相关《数字显示电路设计Word版(23页珍藏版)》请在装配图网上搜索。

1、物理与电子工程学院数字电路课程设计报告书 设计题目: 数字显示电路设计 专 业: 自动化 班 级: 10级1班 学生姓名: 李想 学 号: 2110341106 指导教师: 胡林 年 月 日推荐精选物理与电子工程学院 课程设计任务书专业: 自动化 班级: 10级2班 学生姓名李想学号2110341106课程名称数字电路设计题目数字显示电路设计设计目的、主要内容(参数、方法)及要求1、课程设计是专业课学习过程中一个非常重要的环节。本次课程设计的目的是熟悉和掌握数字显示电路的应用方法,为今后的工作和学习打下坚实的基础。2、理解数字显示电路的原理。3、掌握数字显示电路的应用范围、核心仪器及应用电路。

2、4、检索阅读与课程设计课题相关的国内科技文献,书写并按时提交规范的课程设计。5、在调查、实验、论文撰写等环节中,应尊重事实、尊重实验结果,严肃认真的的完成每一个环节的相关工作。6、课程设计应论述层次清晰,概念准确,语句通顺。7、独立完成课程设计的撰写工作,不得抄袭和剽窃他人成果。8、符合课程设计写作规范,整篇文章不少于3000字。工作量2周时间,每天3学时,共计42学时进度安排第1天:下达任务书第2-5天:搜集资料,完成课程设计的文献查阅、试验或调研工作。第6-8天:完成课程设计的初稿,并提交指导教师。第9-14天:完成课程设计的修改,最终定稿。主要参考资料1路勇.电子电路实验及仿真M.北京:

3、北京交通大学出版社,2010.2孟涛.电工电子EDA实践教程M.北京:机械工业出版社,2010.3高吉祥.电子技术基础实验与课程设计M.北京:电子工业出版社,20054候建军.电子技术基础实验、综合设计实验与课程设计M.北京:高等教育出版社,2007. 指导教师签字教研室主任签字推荐精选摘 要采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态

4、的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。 MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。丰富的图形界面,可

5、随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。 MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。 关键词:数字显示电路;动态扫描;段码推荐精选目 录第一章 设计任务11.1 项目名称:设计数字显示电路11.2项目设计说明11.2.1设计任务和要求11.2.2进度安排11.

6、3项目总体功能模块图2第二章 需求分析22.1问题基本描述22.2系统模块分解32.3系统各模块功能的基本要求3第三章 设计原理33.1 设计原理33.2 MAXPLUSII介绍4第四章 系统功能模块设计54.1.1数码管位选控制模块流程图54.1.2输入输出引脚及其功能说明54.1.3程序代码实现64.2数据选择模块74.2.1.数据选择模(八选一模块)块流程图74.2.2输入输出引脚及其功能说明74.2.3程序代码实现7推荐精选4.3七段译码器模块84.3.1七段译码器模块模块流程图84.3.2输入输出引脚及其功能说明84.3.3程序代码实现8第五章调试并分析结果95.1输入说明95.2预

7、计输出95.3测试结果记录95.4测试结果分析10第六章 结论106.1心得体会10参 考 文 献11附 录12推荐精选第一章 设计任务1.1 项目名称:设计数字显示电路本项目的主要内容是设计并实现8位数码管轮流显示8个数字。该电路将所学的数字电路与系统大部分知识和VHDL语言结合。1.2项目设计说明1.2.1设计任务和要求A、用CPLD设计一个八位数码管显示电路;B、8位数码管轮流显示8个数字,选择合适的时钟脉冲频率实现8个数码 管同时被点亮的视觉效果。1.2.2进度安排第一周至第二周每周二2课时,共10课时。具体安排为:第一周至第三周 6课时自行设计、第四周实验结果验收、第五周交报告并进行

8、答辩。推荐精选1.3项目总体功能模块图第二章 需求分析2.1问题基本描述基本系统流程图如下推荐精选2.2系统模块分解动态扫描显示电路的主要组成为:计数器、显示译码器、32选4数据选择器、扫描电路组成。2.3系统各模块功能的基本要求1、计数器:CN8模块输入信号是时钟脉clk,每遇到一个时钟脉冲clk上升沿时,内部累 加器便加一,再把累加器所得结果与2进制数的形式输出。要显示8位数字,所以用3位2进制数作为输出。输出信号为cout0.2。2、八选一数据选择模块:模块输入信号一个是数据选择器的地址码SEL2.0,另一部分是数据信息A3.0F3.0.地址码是SEL2.0来自时钟脉冲计数器CN6,由地

9、址码SEL2.0决定输出哪个输入数据。输出信号是q3.0; 3、扫描显示译码器:完成对7字段数码管显示的控制。第三章 设计原理3.1 设计原理采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它

10、各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。推荐精选虽然这些字符是在不同时刻出现的,而且同一时刻,只有一位显示,其它各位熄灭,但由于数码管具有余辉特性和人眼有视觉暂留现象,只要每位数码管显示间隔足够短,给人眼的视觉印象就会是连续稳定地显示。总之,多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环一次点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率较大,将看不到闪烁现象。将会看到6个数码管持续稳

11、定点亮的现象。3.2 MAXPLUSII介绍 MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。丰富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。 MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个

12、工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。 MAX+PLUSII支持 Altera公司的 Classic、ACEX 1K、 MAX 3000、 MAX 5000、 MAX 7000、 MAX 9000、 FLEX 6000和 FLEX 10K等系列的可编程逻辑器件,门数为600250000门,提供了工业界真正与结构无关的可编程逻辑设计环境。MAX+PLUSII的编辑器还提供了强大的逻辑综合与优化功能以减轻用户的设计负担。MAX+PLUSII软件的设计输入、处理、校验功能完全集成于可编程逻辑开发工具内,从而可以

13、更快的进行调试,缩短开发周期。推荐精选设计者可以从各种设计输入、编辑、校验及器件编程工具中作出选择,形成用户风格的开发环境,必要时还可以在保留原始功能的基础上添加新的功能。由于MAX+PLUSII支持多种器件系列,设计者无须学习新的开发工具即可对新结构的器件进行开发。MAX+PLUSII软件支持多种HDL的设计输入,包括标准的VHDL、 Verilog HDL及Altera公司自己开发的硬件描述语言AHDL。MAX+PLUS II 由设计输入、项目处理、项目检验和器件编程等4部分组成,所有这些部分都集成在一个可视化的操作环境下。MAX+PLUS II 管理窗口包括项目路径、工作文件标题条、MA

14、X+PLUS II菜单条、快捷工具条和工作区等几个部分。设置好授权码后,启动MAX+PLUS II即进入MAX+PLUS II 管理窗口,如图6.4所示。MAX+PLUS II 还为用户提供了功能强大的在线帮助功能。通过使用在线帮助,用户可以获得设计中所需的全部信息。第四章 系统功能模块设计4.1计数(数码管位选控制)模块4.1.1数码管位选控制模块流程图 4.1.2输入输出引脚及其功能说明CN8模块输入信号是时钟脉冲clk,每遇到一个时钟脉冲clk上升沿时,内部累加器便加一,再把累加器所得结果与2进制数的形式输出。要显示八位数字,所以用3位2进制数作为输出。输出信号为cout0.2。总之是通

15、过输入输出信号来对数码管进行位选控制。推荐精选4.1.3程序代码实现library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cn8 is port(clr,start,clk: in bit; cout: out std_logic_vector(2 downto 0));end cn8;architecture a of cn8 is signal temp:std_logic_vector(2 downto 0);begin process(clk,clr) begin if clr=0

16、 then temp=000; cout=111 then temp=000; cout=1; else temp=temp+1; cout=0; end if; end if; end if; end process; coutcout:=a; When001=cout:=b;When010=cout:=c; When011=cout:=d; When100=cout:=e; When101=cout:=f; When110=cout:=g; When others =cout:=h; End case; Qqqqqqqqqqq=1101111; End case; End process;

17、End rtl;第五章调试并分析结果5.1输入说明clk时钟输入 Clr计数器清零(低电平有效) Start打开计数器(低电平有效)A3.0.H3.0 输入要显示的数字5.2预计输出 Cout2.0数码管位选控制 Q6.0数码管段选控制5.3测试结果记录同时显示0,1,2,3,4,5,6,7这八个不同的数字图形到八个数码管上。5.4测试结果分析 输入一个时钟,驱动计数器工作。选用模值为8的计数器,依次控制8推荐精选个数码管的亮灭,使得某一时刻有且仅有一个数码管点亮,同时产生对应的,将点亮的数码管赋值显示为相应的数码予以显示。由于扫描频率较高,8位数码管序列将显示持续稳定的0至7的数码。第六章

18、结论6.1心得体会在课程设计之前,我学过51单片机,对硬件与软件的联系有初步的了解,其次我的题目相对较简单-设计8位数码管动态显示电路,所以上手特别的快。在编写程序之前,我到实验室实地考察了一下试验箱,发现8位数码管实验无法实现,只能实现6位,开关实现数码管数字变换也不现实,单单8位数码管的数字就需要32个开关控制,试验箱远远达不到这个要求,因此,我就设计全软件来控制并且做得非常成功。最后验收时听老师分析,全软件的程序有很大的缺陷,然后对我的设计又进一步的改进,用开关来进行控制。总之,通过这次课程设计,我对EDA技术有了更进一步的了解。也知道了如何把vhdl的程序装到实验的硬件中,然后如何的连

19、接实验箱上的管脚。 通过在上网查询本次实验相关资料。丰富了对EDA的了解。推荐精选参 考 文 献1路勇.电子电路实验及仿真M.北京:北京交通大学出版社,2010.2孟涛.电工电子EDA实践教程M.北京:机械工业出版社,2010.3高吉祥.电子技术基础实验与课程设计M.北京:电子工业出版社,20054候建军.电子技术基础实验、综合设计实验与课程设计M.北京:高等教育出版社,2007.推荐精选附 录课程设计中的程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div2k ispo

20、rt(clk_in : in std_logic; clk_out : out std_logic);end;architecture a of div2k issignal cnt : integer range 0 to 999;signal clk_tmp : std_logic;beginprocess(clk_in)beginif (clk_inevent and clk_in=1) thenif cnt=999 thencnt=0; clk_tmp= not clk_tmp;elsecnt=cnt+1;end if;end if;end process;clk_outCLK,clk

21、_out=clk_tmp);-3线至6线译码器-A为位码-P1:process(CNT6) BEGIN CASE CNT6 IS WHEN 0 = BT = 000 ; A BT = 001 ; A BT = 010 ; A BT = 011 ; A BT = 100 ; A BT = 101 ; A NULL ; END CASE ; END PROCESS P1;-拓展模块-P2:process(clk_tmp) BEGIN推荐精选 IF clk_tmpEVENT AND clk_tmp = 1 THEN -实现模6计数器 if CNT6 = 5 then CNT6 = 0; else C

22、NT6 = CNT6 + 1; end if;IF (FLAG = 11) THEN-设置标志FLAG = 0; END IF;IF COUNT = 5000 THEN-另一个时钟COUNT = 0; FLAG = FLAG + 1; -当记满5000时左移动一位 ELSECOUNT = COUNT + 1;END IF; END IF; END PROCESS P2;P3:process(A)BEGIN FLAG_A SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG NULL ; END CASE ; END PROCESS P3; END ar

23、c;推荐精选课程设计成绩评定表院系: 物理与电子工程学院 班级: 二班 姓名: 李硕 学号: 2020341239 项目分值优秀(x90%)良好(90%x80%)中等(80%x70%)及格(70%x60%)不及格(x60%)评分参考标准参考标准参考标准参考标准参考标准平时考核20学习态度认真,科学作风严谨,严格保证设计时间并按任务书中规定的进度开展各项工作。学习态度比较认真,科学作风良好,能按期圆满完成任务书规定的任务。学习态度尚好,遵守组织纪律,基本保证设计时间,按期完成各项工作。学习态度尚可,能遵守组织纪律,能按期完成任务。学习马虎,纪律涣散,工作作风不严谨,不能保证设计时间和进度。课程设

24、计报告报告内容组织书写20结构严谨,逻辑性强,层次清晰,语言准确,文字流畅,完全符合规范化要求,书写工整或用计算机打印成文;图纸非常工整、清晰。结构合理,符合逻辑,文章层次分明,语言准确,文字流畅,符合规范化要求,书写工整或用计算机打印成文;图纸工整、清晰。结构合理,层次较为分明,文理通顺,基本达到规范化要求,书写比较工整;图纸比较工整、清晰。结构基本合理,逻辑基本清楚,文字尚通顺,勉强达到规范化要求;图纸比较工整。内容空泛,结构混乱,文字表达不清,错别字较多,达不到规范化要求;图纸不工整或不清晰。技术水平20设计合理、理论分析与计算正确,文献查阅能力强、引用合理、调查调研非常合理、可信。设计合理、理论分析与计算正确,文献引用、调查调研比较合理、可信。设计合理,理论分析与计算基本正确,主要文献引用、调查调研比较可信。设计基本合理,理论分析与计算无大错。设计不合理,理论分析与计算有原则错误,文献引用、调查调研有较大的问题。仿真/制作40实验数据准确,有很强的实际动手能力和计算机应用能力。实验数据比较准确,有较强的实际动手能力和计算机应用能力。实验数据比较准确,有一定的实际动手能力。实验数据无大错。实验数据不可靠,实际动手能力差。指导教师签名指导教师评定成绩 (注:可编辑下载,若有不当之处,请指正,谢谢!) 推荐精选

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!