CalibrexRC的使用

上传人:小** 文档编号:49737485 上传时间:2022-01-18 格式:DOC 页数:17 大小:980KB
收藏 版权申诉 举报 下载
CalibrexRC的使用_第1页
第1页 / 共17页
CalibrexRC的使用_第2页
第2页 / 共17页
CalibrexRC的使用_第3页
第3页 / 共17页
资源描述:

《CalibrexRC的使用》由会员分享,可在线阅读,更多相关《CalibrexRC的使用(17页珍藏版)》请在装配图网上搜索。

1、Calibre xRC 的使用i. 版图中的寄生参数在使用Calibre xRC提取寄生参数之前,先介绍一下电路中的寄生参数。一般来讲,寄 生参数有寄生电阻、寄生电容、寄生电感等,其中寄生电阻和寄生电容对电路的影响最为明显。在版图中,各导电层如铝线、多晶等及导电层之间的接触孔只要有电流通过就会有寄生电阻。两层导电层之间会存在寄生电容,寄生电容一般可分为本征(intrin sic)和耦合(coupled )两种,本征电容是指导电层到衬底(substrate )的电容,它有两种类型,如图1中所示:# 1为in tri nsic plate电容,# 4和# 5为intrin sic frin ge

2、电容;耦合电容是指导电层在不同网线之间的电容,图1中# 2、# 3和# 6就属于这种,其中# 2为nearbody电容,# 3 为 crossover fringe 电容,# 6 为 crossover plate 电容。图1寄生电容模型电路中寄生参数的存在给电路的工作造成了一定的影响,寄生电阻的存在会影响到电路的功耗,寄生RC会影响电路中的信号完整性,等等。所以在版图完成后,必须提取出版图 中的寄生参数,将它们反标入逻辑电路中一起进行仿真,以此来检查版图设计的准确性。2. Calibre xRC 功能简介Calibre xRC提供了多种寄生参数提取解决方案。它可以根据电路设计的不同要求来提取

3、不同的寄生参数网表,针对全定制电路和模拟电路可以提取晶体管级(tran sistor level)的网表,针对自动布局布线产生的电路可以提取门级(gate level )网表,针对数模混合电路可以提取混合级(ADMS的电路网表。它还可以根据不同的电路分析要求进行提取,针对电路的功耗(Power)分析,只进行寄生电阻的提取,针对电路的噪声(Noise )分析而仅对寄生电容的提取,针对电路的时序(Timing )分析而提取相应的 RC或RCC网表,针对电路的信号完整性( Signal Integrity)分析提取寄生RC或RCC网表。除了以上两种寄生参数提取方式外,Calibre xRC还可以提供

4、多种网表输出格式以满足不同的仿真工具的要求,它可以输出的网表格式有Eldo,Hspice,spectre,DSPF SPEF等。在提取时,Calibre xRC 还可以控制寄生参数的提取精度。例如使用reduce thresholds和tolerances 等技术对网表中的参数进行简化,在满足仿真精度的要求下最大程度的减小 网表数据量,加快仿真速度。总之,Calibre xRC采用层次化的数据处理,灵活多变的提取方式,并将提取得到的寄 生电阻电容反标到layout或schematic中,方便电路分析,是目前业内采用较多的提取工 具。3 寄生参数的提取Calibre xRC提供两种方式实现寄生参

5、数提取,一种是在文件中完成设置,并在命令行中直接运行;另一种是在图形用户界面中设置参数并运行,下面分别介绍。图2为CalibrexRC提取流程。z肛加H丈ftP a_nilcDtfab&sf(PDB) Per nj let* Hierdtrclc: 4THtEi图2 Calibre xRC 提图流程图3 1 xRC输入文件从图2中可知,Calibre xRC 必须有版图数据和逻辑网表及xRC规则文件,xRC规则文3. 1. 1寄生参数规则文件我们知道,平板电容的大小与其介质层的厚度有关、侧壁电容的大小与导电层的厚度、 导电层之间的距离等有关,电阻的大小也与材料、掺杂浓度有关,而在不同的制造工艺

6、中, 这些与寄生电容、电阻有关的参数都是不同的,所以要准确提取版图的寄生参数,首先要提供符合所提版图的各类工艺参数。Calibre提供了寄生参数模型产生工具xCalibrate ,可以产生提取寄生电容时所使用的电容计算规则文件。直接在命令行中键入xcalibrate ,导出图形界面,在相应的区域内输入版图所采用的工艺的描述(导电层名称及相应的厚度、宽度,介质层介电常数、厚度等),xCalibrate 就可以为指定的工艺确定基本的几何相互作用关系, 经分析产生寄生参数规则文件中的电容方程。该文件产生后,还须加入各导电层之间纵向连接的上下顺序以确定导电层之间的屏蔽(shieldi ng )问题。例

7、如,有以下定义:CAPACITANCE ORDER n diff pdiff polyl metall metal2若版图中metal1和衬底之间有poly层,贝U poly层会将metal1和衬底之间在 poly位置的 寄生电容予以屏蔽。电阻规则文件的产生较简单,一般只要将相关工艺的各导电层的方块电阻和接触电阻直接使用Calibre 定义的SVRF语句输入即可,女口 metal1的方块电阻为 0.2ohm, metal1和poly1 之间的接触电阻为 10ohm,则定义为:RESISTANCE SHEET METAL1 0.2 0RESISTANCE CONNECTION METAL1 PO

8、LY1 10 03. 1 . 2 LVS规则文件和 PEX设置LVS规则文件是做LVS时需使用的文件,它包含版图所用到的层次定义、层次间的连接 定义、层次间的运算、器件定义及规则检查等。PEX设置主要是设置寄生网表产生的类型及提取中的一些定向约减设置,寄生类型设置须与xRC运行命令对应使用,可生成 5种不同的寄生类型:Lumped C, RC RCC R-only , 不提取。下表是 PEX设置、运行命令与相应的提取寄生网表类型:PEX设置语句xRC运行语句提取寄生类型PEX NETLIST SIMPLECalibreCalibrexrcxrc-pdb - rcc rule fsimpleru

9、less不提取PEX NETLIST LUMPEDCalibreCalibrexrcxrc-pdb - rcc rule fmtless Lumped CCalibreCalibrexrcxrc-pdb - rcc rule fratuless RCPEX NETLIST DISTRIBUTEDCalibreCalibrexrcxrc-pdb - rcc rulefmtruless RCCCalibreCalibrexrcxrc-pdb - rcc rule fmtless RxRC规则文件的主要内容:PEX设置和版图、网表数据一般在一起定义。以下是一个 /*LAYOUT PATH“./*.g

10、ds”LAYOUT PRIMARY layout_topcell_nameLAYOUT SYSTEM gds2( 定义版图数据名,顶单元名,数据格式 )SOURCE PATH“./netlist_name”SOURCE PRIMARY schematic_topcell_nameSOURCE SYSTEM spice (定义逻辑网表数据名,顶单元名,数据格式)MASK SVDB DIRECTORY“./svdb ” QUERY XRC(定义运行LVS和xRC后输出数据的目录)LVS REPORT“lvs.rep ”(定义LVS输出报告文件名)PEX NETLIST SIMPLE “ ./top

11、.simp” HSPICE SOURCE LOCATION(PEX设置,只抽取逻辑网表,不抽取寄生参数,输出网表为hspice格式,报告器件位置)HSPICE SOURCEhspice 格式,采用逻辑网表数据中的网线名,PEX NETLIST LUMPED“./top.lumpPEX REPORT LUMPED“./lump.rep”(PEX设置,只抽取寄生电容,输出网表为 输出抽取报告)PEX NETLIST DISTRIBUTED “./top.distPEX REPORT DISTRIBUTED“./dist.rep” DSPF SOURCE ”ASCII SOURCE(PEX设置,可抽

12、取 RC或 RCC输出网表为 DSPF格式,采用逻辑网表的网线名,输出寄生 参数报告)include “ ./pex.rules”include “ ./lvs.rules”( 包含寄生参数规则文件和 lvs 规则文件 )/*以上三种PEX抽取的设置每次只能采用一种,一般将这三种设置均放在文件中,xRC会根据运行命令选取相应的设置,而忽略另两种设置。设置中还涉及了输出的网表格式,是否反标等,其中PEX NETLIST SIMPLE可输出 HSPICE SPECTRES种格式网表,PEX NETLIST LUMPED-可输出 HSPICE SPECTRE ELDO格式网表,PEX NETLIST

13、 DISTRIBUTED-可输出 HSPICE SPECTRE DSPF SPEF ELDO格式网表。313 PEX 选择及约减设置1选择( Excluding and selection)PEX EXCLUDE LUMPED SOURC“Enet1 ” “ net2 ”PEX EXCLUDE DISTRIBUTED SOURC“E net1” “net2 ”( net1 和 net2 线上的寄生参数不抽取,一般会定义电源和地线)PEX INCLUDE LUMPED“ net1 ” “net2 ”PEX INCLUDE DISTRIBUTED“net1 ” “net2 ”(只抽取 net1 和

14、 net2 线上的寄生参数, net1 、 net2 与其它网线之间的电容不抽取)PEX EXCLUDED PEX INCLUDED次只能使用一种,它由运行命令决定。若运行命令calibre-xrc - pdb时有-select 选项,贝U xRC执行PEXINCLUDE设置,若无,则执行 PEXEXCLUDE 选项。2约减( Reduction )PEX TOLERANCE DISTRIBUTEDTICER( Time Constant Equilibration Reduction)PEX THRESHOLDPEX REDUCE LUMPED CPEX REDUCE COUPLE该定义在

15、calibre - xrc - fmt命令中加后缀“ -g ”时会被忽略) 上述语句均可用于约减网表中对电路影响较小的寄生参数,以缩小寄生网表规模, 便于后仿真分析。大家可查看帮助手册mgcdocs,在此不再详述。32 寄生参数提取流程如图 2 所示,用 Calibre xRC 提取寄生参数分三个步骤,下面逐一介绍。321 LVS( Layout vs. Schematic)or PHDB(Persistent Hierarchical Database)在提取之前首先需要做DRC和LVS以确定版图的准确性,如果需要将提取的参数反标到逻辑网表,则必须保证LVS的结果零错误,DRC和LVS文件均

16、采用Calibre 定义的SVRF(Standard Verification Rule Format)语句编写。运行 LVS 时 Calibre 会生成一个 SVDB(Standard Verification Database)的目录,该目录下存储LVS和xRC运行过程中产生的与版图有关的所有信息,例如在完成LVS后,在SVDB目录下会产生LVS所提取的版图网表文件 topcell.sp ( 该文件名称必须以版图 的顶单元名命名) 和 PHDB ( Persistent Hierarchical Database )的目录,该目录下包含了层次化的连接关系和原始的网线、器件 的数据、位置等信

17、息。LVS运行命令为:calibre - lvs - hier - spi svdb/layout_spice_file rule_file_name;(其中 layout_spice_file 为所提取版图的网表名, rule_file_name 为 LVS 规则文件,一 般与xRC规则文件合并成同一个)。若只提取版图电路及寄生参数网表,不反标到逻辑网表,可用以下运行命令:calibre - xrc - phdb rule_file_name32 2 PDB( Parasitic database)完成 LVS 后,第二步是提取版图中的寄生参数信息。运行命令为:calibre - xrc -

18、 pdb - r|-c|-rc|-rcc -select rule_file_name;(其中中按需要选取,rule_file_name为Calibre xRC的规则设置文件,一般都包含LVS文件)。运行结束后, Calibre xRC 在所定义存放中间验证数据的目录下会生成PDB( ParasiticDatabase )目录, 该目录中包含了所有有关版图中寄生模型的连接关系与相关数据、位置等信息。值得注意的是, Calibre xRC 每次运行时,会采用叠加的方式将提取的信息加到已有 的PDB目录中,它的优点是如果需要增加寄生参数提取,xRC无需重新运行,而只运行增加的部分,如xRC已提取R

19、C寄生参数,再提取 RCC寸,只要提取coupled C就行了,这样可 以大大节省运行时间。但是如果再次运行时,版图已经过修改,xRC不会覆盖修改前已提取相关位置网线的寄生参数信息, 这样会使参数提取与版图不符, 导致不必要的仿真误差。 所 以一般情况下,每次运行这一步前,最好先删除PDB目录。32 3 FMT( Format Netlists or Reports )第三步是把前步所提取的寄生参数按所定义的输出网表格式输出至指定文件中。运行命令为:calibrexrc fmt -c|-r|-rc|-rcc| all -g rule_file_ name。Calibre xRC会到PDB目录下

20、找到适合的数据输出报告和网表。以上运行命令可以编写成一个批处理文件, 以方便多次的重复运行。 以下是该文件举例说明:#! /bin/csh - fm - rf svdb/*.pdbcalibre-lvs - hier - spi svdb/topcell.sp rules |tee lvs.logcalibre-xrc - pdb - rcc rules |tee pdb.logcalibre-xrc - fmt - all rules |tee fmt.log其中,“ rules ”为xRC规则文件名。4网表提取的类型网表提取有三种类型 , 具体如下:1.a Flat Transistor-

21、Level netlist 这种类型与其它寄生参数提取工具相似,在提取时先将版图所有单元打散成单个单元,该 类型提取的寄生网表是所有类型中最精确的, 但输出网表数据量会非常大, 只适宜对模拟电 路的模块的提取,对大规模的数字电路显然是不合适的。2 a Gate-Level Netlist这种类型在提取时,需要提供一个 xcell 的文件,文件的内容是列出版图中所用到的标准 单元名,以及与这些单元对应的逻辑单元名。 Calibre xRC 在提取寄生网表时,只连接这些 单元的输入输出口,而不再提取单元内部的信息。这种类型的运行命令为:calibre- Ivs- hier- spice svdb/

22、design.sp- hcell xcell_listrulescalibre - xrc - pdb - rc - xcell xcell_list rulescalibre- xrc- fmt- all - xcell xcellistrules在LVS中我们曾经定义过hcell , xcell_list内的单元不能比 hcell定义的多。3. a Hierarchical Netlist这种类型是对每一个 xcell_list 中所列的单元都提取相应的网表和寄生参数, 并输出层次 化的网表,即该网表保持所有的 xcell 嵌套关系。其运行命令为:calibre - lvs - hier-

23、 spice svdb/design.sp- hcell xcell_list rulescalibre - xrc - pdb - rc - full - xcell xcellist rulescalibre - xrc - fmt - all - full - xcell xcellist rules5查看提取结果完成寄生参数提取后,可对提取出的网表进行分析、检查。 首先了解一下提取过程中产生的各类文件。 Calibre xRC 一般会生成以下三个网表文件:design.* 、design.*.pex和 design.*.topcell.pxi。其中 design.* 文件中是电路的实际

24、逻辑网表信息,并包含后两个网表; design.*.pex 文件中是寄生参数网表信息, 实际逻辑上的每个节点都会生成一个单元,该单元中包含从该节点上提取的RC 参数;design.*.topcell.pxi文件中是网线连接信息和各网线间的寄生耦合电容模型,它把每个节点所衍生的新节点连接到逻辑电路中,它包含了 design.*.pex 文件。如果只提取寄 生电容模型,则不会衍生新节点,所以不会产生 *.pex 文件,寄生本征电容直接在输出网表 文件中给出,寄生耦合电容在 *.pxi 文件中给出,并被输出网表文件调用。除去网表文件,xRC还会输出一些辅助检查文件。在LVS后会生成从版图上提取的逻辑

25、网表文件 design.sp ;在提取完成后, svdb 目录下的各文件可以帮助我们在版图中确认寄生 参数的位置及其它各类信息,我们可在 Calibre RVE 图形界面中找到这些数据。在命令行中 输入: calibre - rve svdb&有以下窗口出现:图3 Calibre RVE 图形界面Calibre RVE是用于交互式检查的图形界面,我们在做DRC LVS时所调用的也是它。如图3所示,在下拉菜单 View中选择Net Parasitics,我们可以检查版图中每个网线的名称,与逻辑网表对应的名称及该网线上的集总电容、电阻值,如图4所示。若需要看某一根网线的版图、逻辑、寄生信息,只需要

26、在上方“Find :”后窗口内搜索到并双击该网线,如图 4中双击“ OSCO,会有图5所示窗口跳出。图中绿色符号均能点击 并在相应的版图、逻辑图及网表文件中找到具体位置。图5从中我们可以初步判断电路中哪些网线连接比较长,使其寄生电阻较大,哪些网线上负载较大,使其寄生电容较大等,以及是否会对电路的正常工作产生影响。6 .使用Calibre图形界面直接提取寄生参数以上所述均为采用运行命令实现寄生的提取,Calibre还提供了图形界面的实现方式,可以更直观地反映 PEX设置和提取流程。我们知道,只要在 Cade nee的.cdsin it 文件中加入 Calibre的调用语句,如:load (“/u

27、sr/calibre/mgc_skl.skl” )在启动icfb之后,Calibre 会与Cade nee建立连接关系,打开版图单元,在上方下拉菜单的最后会出现“ Calibre ”菜单,见图6:点击它,选择其中的 Run PEX,会出现PEX的图形界面,如图7所示:图 7 PEX GUI其中Rules和In puts中设置与LVS设置类似,只在In puts/H-cells中多了 PEX x-Cells file,若是层次化的提取,则需输入xcell文件名。Outputs的设置如图8所示:图8该界面中定义了提取和寄生的类型设置,网表输出格式等,下面一一介绍。图9中下拉菜单为提取类型设置,有4

28、种,前三种已讲过, ADM(AdvanceMS是混合信号的寄生提取,无license,不再介绍。图9提取类型设置图10中寄生类型设置,有 5种,根据需要选其一。后面是寄生电感设置,目前我们尚未考虑寄生电感的影响,所以设为No Inductanee(default )。图10寄生参数提取类型设置图11是网表输出格式设置,根据设置的寄生类型和后仿真工具选择所需类型。(CALIBREVIEW是Calibre 自带的一种输出格式,无license。)图11网表输出格式设置SCHEMATIC 否则选 LAYOUT图12有两个选项,若输出网表要反标到逻辑网表上,则选择图13 xRC运行命令设置图13 xR

29、C运行命令设置图12网表内名称设置该界面中最下方还有两个选项,就是前文中所提及的选择设置,选择Exclude或In elude ,并在其后的空格处填入相应的网线名称。Top-Level Nets 定义顶单元网线名, RecursiveNets可定义任意级嵌套单元中的网线名。至此,xRC的设置基本就完成了,接下来是运行命令,见图13 :图13 xRC运行命令设置先点击界面左边 Run Control按钮,再点击上方 Advaneed按钮,会出现提取的三个步 骤选项,可选择任意项,一般情况下,三项同时选择,同时运行。在界面中还有一个选项:Show PEX steps in task button

30、bar,选择该项,PEX的三个步骤会出现在左边的按钮中,在后面运行时,可直观地看到并随时选择运行其中任一步;另一选项Gen erate PDBin creme ntally般不选择,这样在 xRC每次运行时都会重新生成PDB数据,若选择,则每次运行时会对PDB数据进行叠加。还有一些PEX的设置在PEX Options菜单中。点击界面上方下拉菜单中地Setup,选择PEX Options,左边按钮中会出现 PEX Options,右边是它的各个选项,如图14所示:图 14 PEX Opit ons大家可以查看帮助手册,设定各自所需的设置,这里就不一一介绍了。接下来就是点击界面左边的Run PEX

31、按钮,运行xRC运行过程可在右边窗口中查看,运行结束查看结果,前文中已经介绍过。总之,采用PEX GUI运行xRC,其设置基本上是和用语句直接定义是一致的,大家可以 根据自己的喜好,选择其中一种。7 环境变量设置语句Calibre xRC还定义了一些在命令行中执行的环境变量,主要是针对不同的后仿真工具 对网表的格式的调整及提取设置的调整,其语句格式为:% setenv PEX_* 一般与运行命令放在同一个文件中。举例说明:1% setenv PEX_EXTRACT_FLOATING_NETS OFF/ON该语句决定xRC是否提取floating网线,OFF为缺省值,表示不提取,ON反之;2% setenv PEX_FMT_GLOBAL“VDD;VSS”不加该句,VDD VSS作为PIN名在.subckt中输出;加该句,在 .global VDD VSS 输出。 这里不再一一列出,大家可参考帮助手册的相关部分。2006 12 29

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!