软件无线电原理与技术实验报告

上传人:飞*** 文档编号:48784643 上传时间:2022-01-14 格式:DOCX 页数:24 大小:1.09MB
收藏 版权申诉 举报 下载
软件无线电原理与技术实验报告_第1页
第1页 / 共24页
软件无线电原理与技术实验报告_第2页
第2页 / 共24页
软件无线电原理与技术实验报告_第3页
第3页 / 共24页
资源描述:

《软件无线电原理与技术实验报告》由会员分享,可在线阅读,更多相关《软件无线电原理与技术实验报告(24页珍藏版)》请在装配图网上搜索。

1、题目:软件无线电原理与技术实验报告姓名:学院:信息科学与技术学院系:通信工程系专业:年级:学号:FSK调制与解调2012 年 7 月 1 日教师:软件无线电原理与技术实验报告FSK调制与解调一、实验目的理解FSK调制与解调的原理与意义掌握DDS的用法练习和熟悉程序的编写与仿真验证掌握FSK调制与解调的数字实现过程二、实验原理FSK调制原理2FSK(二相频移键控)是用两个不同频率的正弦波形来传送码元“0”和“1”。_户8sgl+2发送4T时(acoM卬+a)发送"0"时(a调频法A(t)2FSK信号的产生方法主要有两种,一种是用二进制基带矩形脉冲信号去调制一个调频器,使其输出

2、两个不同频率的码元如图1(a)所示。第二种方法是用一个受基带脉冲控制的开关电路去选择两个独立频率源的振荡作为输出,如图1(b)所示。开美法图12FSK调制原理框图其中,由调频器产生的2FSK信号在相邻码元之间的相位是连续的,如图2所示;而开关法产生的2FSK信号由两个独立的频率源构成,所以2FSK在相邻码元之间的相位不一定是连续的。图22FSK信号波形图实验设计一款2-FSK调制器。功能要求:要求当信号并行输入时,输出已调信号和输出使能信号。载波信号由DDS1块生成,输出的使能信号out_fsk_en经IO1显示在示波器上,输出的已调信号经D/AK块I路显示在示波器上进行观察。时序要求:当输入

3、使能信号in_fsk_en为高电平时,信号输入2-FSK调制器;当调制模块输出使能信号out_fsk_en无高皿平时,输出已调信号。参考设计:分为五个模块实现(拨码开关输入模块、DDS1模块、DDS2模块、FSK调制模块、DA输入模块)。其中拨码开关输入模块实现拨码开关输入的并用转化,并产生输出使能信号。DDS1模块由IP核DDSCompiler生成,产生余弦信号,设置为系统时钟40MHz输出时钟,分辨率为1HZ;输入时钟时能信号ce,输出余弦信号cosine,其他设置为默认。DDS2模块由IP核DDSCompiler生成,产生余弦信号,设置为系统时钟40MHz输出时钟,分辨率为1HZ;输入时

4、钟时能信号ce,输出余弦信号cosine,其他设置为默认。FSK调制模块实现fsk调制,DA输入模块实现补码转偏移码以便数据输入DA模块,实现框图如图3所示。图3 2FSK调制器实现框图io_p2s7 0括噂nelkFSK解调原理2FSK信号的解调分为相干解调和非相干解调两类。非相干解调原理框图如图4所示。图42FSK信号的非相干解调结构框图本实验采用相干解调法,相干解调原理框图如图5所示。图52FSK信号的相干解调结构框图实验设计一款2-FSK调制/解调器:功能要求:当信号输入时,输出解调信号以及输出使能信号。实验中的输入信号可用拨码开关输入,载波信号由DDS模块生成,输出的使能信号out_

5、defsk_en经IO1显示在示波器上,输出的解调信号经IO2显示在示波器上。时序要求:当输入使能信号in_fsk_en为高电平时,信号输入2-FSK调制器,当输出使能信号out_defsk_en为高电平时,输出解调信号。参考设计:分为五个模块实现(拨码开关输入模块、DDS1模块、DDS2模块、FSK调制模块、FSK解调模块),其中拨码开关输入模块实现拨码开关输入的并用转化,并产生输出使能信号。DDS1模块由IP核DDSCompiler生成,产生余弦信号,设置为系统时钟40MHz输出时钟,分辨率为1HZ;输入时钟时能信号ce,输出余弦信号cosine,其他设置为默认。DDS2模块由IP核DDS

6、Compiler生成,产生余弦信号,设置为系统时钟40MHz输出时钟,分辨率为1HZ;输入时钟时能信号ce,输出余弦信号cosine,其他设置为默认。FSK调制模块实现fsk调制。FSK解调模块实现fsk的相干解调,其中包括两路带通滤波器模块、两路相乘模块和两路低通滤波器模块六个子模块,实现框图如图6所示。码关入块 拨开输模out fsk enout d2scecLk S1生波埃加产载模S2生波块DD产载模cdsmeA 5 ujrstclhcLk Dirt def sfc isnout.defsk图62FSK调制/解调器实现框图三、实验内容FSK调制与解调的Matlab仿真FSK调制与解调的F

7、PGA代码的设计FSK调制与解调功能的仿真FSK调制与解调的测试四、实验步骤FSK调制与解调的Matlab仿真启动Matlab,新建Mt件,根据FSKS制与解调原理编写matlab代码,实现2-FSKM制与解调。(2)运行编写的M文件,得到输入信号、调制后的信号和解调后的信号波形,记录下来。FSK调制与解调功能的仿真及测试FSK调制功能的仿真及测试(1)创建ISE工程FSK并设置设备参数。(2)根据参考设计编写拨码开关输入模块和FSK调制模块的FPGA代码,并加入工程。使用IP核产生DDS1模块和DDS2模块。注意输入输出和参数的设置。DDS Compilerv2. 1OutputFreque

8、nciesRange:0.40.0HHzOutputChannelFrequencyIPSymbol编写测试文件设定输入信号,通过ISE调用Modelsim仿真。注意,进行ModelSim仿真时,请用本实验文件夹中的SRC文件夹中的工程进行仿真。(5)Modelsim被调用开启后,选中需要观察的信号,在Transcript中先后运行指令restart和runo(6)观察各信号波形,填写Modelsim的仿真结果。输入的信号:01110101(从左到右为高位到低位,输出信号低位先出,高位后出)观察调制后的信号波形及输出使能信号:(7)根据参考设计编写DA输入模块的FPGA代码,并加入工程。(8)

9、将约束文件加入工程,并结合实验箱的管脚编写约束文件。综合、实现、硬件编程后,给实验箱上电,将生成的bit流文件下载到实验平台上。(10)拨动拨码开关SW9设置输入信号,拨动拨档开关SW1和KEY1控制输入使能信号和复位信号,示波器的一支表笔接在IO1上观察输出使能信号,另一支表笔接在DA模块I路输出上观察已调信号。观察示波器上输出使能信号和调制后的波形,并记录下来。FSK解调功能的仿真及测试创建ISE工程DEFSK并设置设备参数。(2)根据参考设计编写拨码开关输入模块、FSK调制模块和FSK解调模块的FPGA代码,并加入工程。(3)使用IP核产生DDS1模块和DDS2模块。注意输入输出和参数的

10、设置v2. 1(交搀DDSCompilerCLK > CE>SCLR > WE >REGJB ELECT > .ADDR0:0 - 口 AT启淤5 :0»RDY子RFD »CH.ANNEL0:0 »SINE5:0 *COSINE5:0Output Frequenci esRange : 0. . 40. 0 MHzChajmelOutput Frequency (Hi)15.52030405060T08090100110120130140150160vlO 0Width*Ruigc; 2. 64,1Data Type "Si

11、 gned6Ruige: 2. 64(4)使用IP核产生FSK解调模块中的子模块两路相乘模块。注意输入输出和参数设置便MultiplierC&mporiftntNam电:CARRIEKMULMultiplierTypeParallelMui11plierOConstant-Co#fficisntMultiplierInputOptionsFortADataType:Signed(5)使用IP核产生FSK解调模块中的子模块两路带通滤波模块。注意输入输出和参数的设置。其中需要添加coe文件,我们借助FDAtool设计滤波器并导出coe文件。024681012141618Frequency

12、(MHz)FileEditAnalysisTargetsViewWindowHelp国田口与口分QQXQK臼团旧矣吟田厂因塌。国回应r-CurrentFillerInformationMagnitudeResponse(dB)Structure:Direct-FormFIROrder:25Stable:YesSource:DesignedStoreFilter.FilterManagerCSpecifyorder:jG>Minimumorder_OptionsDensityFactor:20一FilterOrder_FrequencySpecificationsDesignFilterI

13、MagnitudeSpecificationsUnits:dBvDesigningFilter.DoneFileEditAnalysisTargetsViewWindowHelp口¥口昌IA您QQXDB2臼国前岩古田厂因端四日H-Ci>rentFilterInformation_MagnitudeResponse(dB)024681012141618Frequency (MHz)Structure:Direct-FormFROrder25Stable:YesSource:Designed(quantized)(StoreFilterIIFilterManagerI_Respon

14、seTypeLowpassVHighpassVQBandpassFilterOrderOSpedfyorder:jQMinimumorderOBandstopODifferentiatorv-DesignMethod0HRButterworth®firEquiripplevrOptionsDensityFactor:20DesigningFilter.Done产RCi4ttiplnkl#hl-viC士zrd一Elh-k第p>Wil小一1-wIhimU1H匚dMh匚"”上1l-'加'“iw-r,lE-IHBlifllK-BfclffM"IIVl

15、占iIkill*b»/n.理r<?才JplAfHMh&tiTn*事IMIffIWaIM1.MMIviLTjiW!J.Hi-ib,uAEhl|MJkXLfHiIIhSfMNuuii±m«Zerjiria.Mim旭。"1Ina«Im«hbHt,哺*Imimiivd-nii7*a»J0B制吊3,llawe。*i«l,ihaaflbLFIRCo«uiler(6)使用IP核产生FSK解调模块中的子模块两路低通滤波模块。注意输入输出和参数的设置。其中需要添加coe文件,我们借助FDAtool设计滤波器并

16、导出coe文件。编写测试文件设定输入信号,通过ISE调用Modelsim仿真。注意,进行ModelSim仿真时,请用本实验文件夹中的SRC文件夹中的工程进行仿真。(8)Modelsim被调用开启后,选中需要观察的信号,在Transcript中先后运行指令restart和runo(9)观察各信号波形,填写Modelsim的仿真结果。输入的信号:01110101(从左到右为高位到低位,输出信号低位先出,高位后出)观察解调后的信号波形:(10)将约束文件加入工程,并结合实验箱的管脚编写约束文件。(11)综合、实现、硬件编程后,给实验箱上电,将生成的bit流文件下载到实验平台上。(12)拨动拨码开关S

17、W9设置输入信号,拨动拨卞3开关SW1和KEY1控制输入使能信号和复位信号,示波器的一支表笔接在IO1上观察输出使能信号,另一支表笔接在IO2上观察解调后的信号波形。观察示波器上的信号波形,并记录下来。五、实验结果与分析1、Matlab仿真代码如下:Fc=10;?%载频Fs=40;?%系统采样频率Fd=1;?%码速率N=Fs/Fd;df=10;numSymb=25;%行仿真的信息代码个数M=2;?%进制数SNRpBit=60;%W噪比SNR=SNRpBit/log2(M);seed=1234554321;numPlot=25;%生25个二进制随机码x=randsrc(numSymb,1,0:M

18、-1);%产生25个二进制随机码figure(1)stem(0:numPlot-1,x(1:numPlot),'bx');title('二进制随机序列)xlabel('Time');ylabel('Amplitude');涮制y=dmod(x,Fc,Fd,Fs,'fsk',M,df);numModPlot=numPlot*Fs;t=0:numModPlot-1./Fs;figure(2)plot(t,y(1:length(t),'b-');axis(min(t)max(t);title('调制后的信

19、号')xlabel('Time');ylabel('Amplitude');%在已调信号中加入高斯白噪声randn('state',seed(2);y=awgn(y,SNR-10*log10-10*log10(N),'measured','dB');%在已调信号中加入高斯白噪figure(3)plot(t,y(1:length(t),'b-');%画出经过信道的实际信号axis(min(t)max(t);title('加入高斯白噪声后的已调信号')xlabel('Ti

20、me');ylabel('Amplitude');%相干解调figure(4)z1=ddemod(y,Fc,Fd,Fs,'fsk/eye',M,df);title('相干解调后的信号的眼图')哪输出波形的相干M元频移键控解调figure(5)stem(0:numPlot-1,x(1:numPlot),'bx');holdon;stem(0:numPlot-1,z1(1:numPlot),'ro');holdoff;axis(0numPlot);title('相干解调后的信号原序列比较')le

21、gend('原输入二进制随机序列','相干解调后的信号')xlabel('Time');ylabel('Amplitude');%非相干解调figure(6)z2=ddemod(y,Fc,Fd,Fs,'fsk/eye/noncoh',M,df);title('非相干解调后的信号的眼图')哪输出波形的非相干M元频移键控解调figure(7)stem(0:numPlot-1,x(1:numPlot),'bx');holdon;stem(0:numPlot-1,z2(1:numPlot),

22、'ro');holdoff;axis(0numPlot);title('非相干解调后的信号')legend('原输入二进制随机序列','非相干解调后的信号')xlabel('Time');ylabel('Amplitude');%误码率统计errorSymratioSym=symerr(x,z1);figure(8)simbasebandex(0:1:5);title('相干解调后误码率统计')errorSymratioSym=symerr(x,z2);?figure(9)simba

23、sebandex(0:1:5);title('非相干解调后误码率统计)班除高斯白噪声Delay=3;R=;PropD=0;?%滞后3syf,tf=rcosine(Fd,Fs,'fir',R,Delay);?%升余弦函数yo2,to2=rcosflt(y,Fd,Fs,filter',yf);啕口入高斯白噪声后的已调信号和经过开余弦滤波器后的已调信号t=0:numModPlot-1./Fs;figure(10)plot(t,y(1:length(t),'r-');holdon;plot(to2,yo2,'b-');holdoff;ax

24、is(030);xlabel('Time');ylabel('Amplitude');legend('加入高斯白噪声后的已调信号','经过开余弦滤波器后的已调信号')title('升余弦滤波前后波形比较')eyediagram(yo2,N);%眼图title('加入高斯白噪声后的已调信号的眼图')得到的输入波形如下:解调信号波形如下:解调信号波形与原始信号相同。2、在示波器上,输入信号和调制后的信号波形如下:CBTFiCifS"carriefb3、在示波器上,输入信号和解调后的信号波形如下:调制后的波形01110101(从左到右是高位到低位)I2L4、观察0FPG顺现的内部结构以及布局布线、信号框图如下:ch碗out:jjSscc»na|S:0tco»nDi3:OFEJP&W%«*elk叫tjtejtnGjWCjtflrU输入信号解调后的波形01011011(从左到右是高位到低位)(从左到右是高位到低位)修峥;口。I0ul_da_i3ditiiiaQ|elk.ouri_da_wT

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!