基于SOC的16位流水线CPU设计文献综述(共6页)

上传人:风*** 文档编号:48392299 上传时间:2022-01-04 格式:DOC 页数:6 大小:165.50KB
收藏 版权申诉 举报 下载
基于SOC的16位流水线CPU设计文献综述(共6页)_第1页
第1页 / 共6页
基于SOC的16位流水线CPU设计文献综述(共6页)_第2页
第2页 / 共6页
基于SOC的16位流水线CPU设计文献综述(共6页)_第3页
第3页 / 共6页
资源描述:

《基于SOC的16位流水线CPU设计文献综述(共6页)》由会员分享,可在线阅读,更多相关《基于SOC的16位流水线CPU设计文献综述(共6页)(6页珍藏版)》请在装配图网上搜索。

1、精选优质文档-倾情为你奉上基于SOC的16位流水线结构CPU设计文献综述专业:电子科学与技术 班级:10级2班 姓名:樊奇峰 指导老师:陈亮亮1. 前言随着微电子技术的发展,集成电路现在已经实现了单芯片电子系统。由于性价比高的特点,SOC已慢慢称为嵌入式系统发展的主流方向,而CPU是SOC系统中最关键、最复杂、最重要的部件Error! Reference source not found.。CPU从最初在国外发展开始已经有30多年的历史,国外通用CPU的设计与生产技术已经非常娴熟Error! Reference source not found.。而对嵌入式CPU来说,业界充满了创新与竞争,研

2、究、掌握嵌入式CPU设计的最核心的技术,积极开发更具自主知识产权的商用嵌入式高效CPU,能够促进我国在微处理器设计领域赶超国际先进水平Error! Reference source not found.;有自主知识产权的高性能CPU研发是一个国家科技创新能力的象征,将对我国的信息业发展产生深远影响Error! Reference source not found.。这是基于这个原因,本人在深入了解CPU的工作原理和设计方法的基础上,设计了CPU的整体结构并划分具体模块进行设计,最于完成该款基于SOC的16位流水线结构CPUError! Reference source not found.。基

3、于SOC的16位流水线结构CPU,其基础就是流水线结构Error! Reference source not found.。在现代微处理器、数字信号处理器、高速数字系统等设计中,几乎都离不开流水线技术。所谓流水线,就是将一个较复杂的处理过程分成m若干个处理时间大致相等、复杂程度相当的子过程,而每个子过程由一个独立的功能部件来完成,处理对象在各子过程连成的线路上连续流动。在同一时间,m个部件同时进行不同的操作,完成对不同对象的处理Error! Reference source not found.。这里介绍的基于SOC技术设计的16位流水线结构CPU,具有很大的实用价值,而且由FPGA构成实验系

4、统后,可以很容易ASIC大型集成芯片来完成,性价比很高,处理速度快Error! Reference source not found. Error! Reference source not found.。其流水线结构是:将复杂的电路设计成五级流水线通路,分别是IF取指段、ID译码段、EX执行段、MEM访存段、WB写回段,分别由五个功能部件来完成,针对流水过程中发生的冲突以及相关,设计了一些相应的功能部件协调CPU的有效运作Error! Reference source not found.。2. 设计原理及实现2.1整体设计原理本设计采用的是自顶而下的设计方法,利用功能分割手段把系统从上到下

5、划分为外部数据输入、FPGA、液晶显示三个部分,然后FPGA再细分为16位流水线结构CPU内核、内部RAM、内部程序ROM和液晶显示控制等单元,然后16位流水线结构CPU内核又可以划分为IF取指令模块、ID指令译码模块、EX指令执行模块、MEM访存模块、WB写回模块、Forward旁路模块、控制器模块、控制相关检测模块Error! Reference source not found. 。流水线CPU的结构如图1所示。 取值阶段 译码阶段 执行阶段 访存阶段 写回阶段图1 流水线CPU的结构2.2 IF取指阶段 取指令阶段的主要功能是:从程序计数器PC所指的地址取出指令,然后将指令送到该阶段的

6、流水线寄存器中,在计算程序计数器PC的下一个值。具体操作为:(1)当程序顺序执行的时,程序计数器PC的值自动加一;(2)当有中断调用指令时,PC所指的地址将转换为中断所指向的地址;(3)当有中断返回指令时,PC所指的地址将转换为中断现场保留的地址;(4)当有分支或跳转指令时,PC所指的地址将转换为分支或跳转的地址;(5)当出现异常处理时,PC所指的地址将转换为异常处理的地址;(6)当发生控制相关时,该阶段向译码段发送空指令。 2.3 ID指令译码阶段译码阶段的主要功能是将IF段送来的指令分为数据域和控制域,数据域指向ID段,解析出指向寄存器文件的寄存器编码;控制域则执行控制域的译码;将指令数据

7、域中的8位或6位立即数字段进行符号位扩展得到16位操作数;当程序发生分支时,将分支地址回传给IF段。2.4 EXE执行阶段执行阶段的主要功能是将译码段寄存器文件输出的数据送到ALU中进行各种算术、逻辑运算以及计算地址,将结果存入临时寄存器当中。 2.5 MEM访存阶段及WB写回阶段MEM访存阶段的主要功能是对数据存储器进行访问,WB写回阶段的主要功能是对alu输出的结果、输入结果以及访存结果进行选择后回写到ID指令译码段,如图2所示。 图2 访存、写回阶段结构图2.6 Forward 旁路模块(数据前推控制模块)Forward 旁路模块的主要功能是检测流水线工作过程中产生的数据相关,并将相应的

8、数据前推到ID指令译码段。当数据在流水过程中发生数据相关时,在控制信号的协调下通过旁路模块打开选择器的相应通道,使得指令译码阶段的指令可以得到相应的数据,从而避免了流水线阻塞和数据相关引发的断流。Forward 旁路模块也是数据前推控制模块,直接将流水线的EX执行段、MEM访存段以及WB写回段产生的数据、条件送到执行段的数据输入端。相关指两条指令之间存在某种依赖关系。在流水线中,如果两条指令相关,那么它们就有可能不能重叠执行或者只能部分重叠执行。2.7控制相关检测模块(hazard) 控制相关检测模块(hazard)的主要功能就是检测和对比每一条从指令存储器流出的指令,判断是否产生访存冲突以及

9、指令之间是否存在控制相关;如果产生冲突或者相关,就控制IF取指令段发送空指令直到冲突或者相关处理结束。2.8中断控制器模块(interrupt)中断控制器模块(interrupt)的主要功能是协调程序执行的方向,保留中断发生时的现场(相应的地址),针对不同的中断请求给出相应的地址。 2.9 控制器模块控制器是CPU处理器控制的核心部件,实现指令的译码以及提供控制处理器各功能部件协调一直工作的控制信号,从而实现指令的五级(取指、译码、执行、访存以及回写)流水线的执行。2.10整体电路设计将各单元按照图1的总体结构和流水线段与段之间的信号的关系连接起来,就得到流水线CPU整机电路。设计的总体原理框

10、图如图3所示。图3 流水线CPU的设计框图3. 结束语在计算机技术的推动下,电子技术在20世纪末获得了飞速的发展,现代电子产品几乎渗透于社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时又促使现代电子产品性能的进一步提高,产品更新换代的节奏也越来越快。凭借着高速的运行速度,流水线技术在现代微处理器、数字信号处理器、高速数字系统中得到了广泛的应用。基于SOC的16位CPU的设计和实现有多种途径,如微程序控制方式设计、状态机设计、流水线结构设计等。而流水线技术设计CPU结构比较简单,执行速度快,也可以节省大量的硬件资源,性价比高Error! Reference source

11、not found.。本文介绍的基于SOC技术设计的16位流水线结构CPU,具有一定的实用价值,而且由FPGA构成实验系统后,可以很容易的用ASIC大型集成芯片来完成,性价比高,处理速度快Error! Reference source not found.。其流水线结构是:将复杂的电路设计成五级流水线通路,分别是IF取指段、ID译码段、EX执行段、MEM访存段、WB写回段,分别由五个功能部件来完成,针对流水过程中发生的冲突以及相关,设计了一些相应的案件功能部件协调CPU的有效运作。数据相关检测控制模块检测和对比每一条从指令存储器流出的指令,判断是否产生访存冲突以及指令之间是否存在控制相关,如果

12、产生冲突或者相关,就控制IF取指令段发送空指令直到冲突或者相关处理结束;当数据在流水过程中发生数据相关时,数据前推模块将流水线MEM段以及WB段产生的数据前推到EXE段的数据输入端,进而解决了流水线阻塞和数据相关引发的断流;控制单元完成指令的译码,提供控制处理器各功能部件协调工作的控制信号,从而实现指令的五级流水线的执行;中断控制器模块(interrupt)协调程序执行的方向,保留中断发生时的现场(相应的地址),针对不同的中断请求给出相应的地址Error! Reference source not found.。 参考文献1 刘捷臣,王效平.微处理器和微控制器的发展现状与展望J.微处理机.20

13、01,(1):01-06.2 吴武臣,候立刚译.复杂SOC设计M.北京:机械工业出版社,2006.46-48.3 潘松,黄继业.SOPC技术实用教程M.北京:清华大学出版社,2005.108-111.4 张志敏.基于“聚芯SoC”嵌入式系统设计M.北京:北京邮电大学出版社.2006.36-38.5 Kyung-Sik Jang Kunieda,H.CPU core generation for hardware-software co-design.IEEE Asia Pacific ConferenceC.Seoul:Circuits and Systems,1996,(3):306-309

14、.6 江国强.EDA技术与应用M.北京:电子工业出版社.2004.87-90.7 潘松,王国栋.VHDL实用教程M.成都:电子科技大学出版社.2000.125-130.8 胡振华.VHDL与FPGA设计M.北京:中国铁道出版社.2003.110-114.9 夏宇闻.Verilog数字系统设计教程M.北京:北京航空航天大学出版社,2003.5-9.10 潘明,潘松.现代计算机组成原理M.北京:科学出版社.2007.45-50.11 黄智伟,王彦,陈琼,潘礼,黄松.FPGA系统设计与实践M.北京:电子工业出版社.2005.111-115.12 潘松,黄继业.EDA技术实用教程(第3版)M.北京:科学出版社.2007.293-346.13 蒋本珊.计算机组成原理(第2版)M.北京:清华大学出版社.2008.223-225.14 潘松,黄继业,陈龙.EDA技术与Verilog HDL M.北京:清华大学出版社.2010.293-295.专心-专注-专业

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!