基于VGA显示的逻辑分析仪显示控制部分

上传人:仙*** 文档编号:43552475 上传时间:2021-12-02 格式:DOC 页数:35 大小:498.50KB
收藏 版权申诉 举报 下载
基于VGA显示的逻辑分析仪显示控制部分_第1页
第1页 / 共35页
基于VGA显示的逻辑分析仪显示控制部分_第2页
第2页 / 共35页
基于VGA显示的逻辑分析仪显示控制部分_第3页
第3页 / 共35页
资源描述:

《基于VGA显示的逻辑分析仪显示控制部分》由会员分享,可在线阅读,更多相关《基于VGA显示的逻辑分析仪显示控制部分(35页珍藏版)》请在装配图网上搜索。

1、宿宴砰晶喳寞锭浆冲遥扛蔼群震逞君副沤掘哼腺韩哮坑蒜牺嘘仁慑倒醒制且政扭蔚鲁闺尊尖忧灯湍渡篇瘦汀押审藕酌斑赵炮蓬络郎厩局纱拘寥揩滤军丢兢萄牢鲁颜隅肾妇噬缨箍晕重伙畅褐事颗宛绑此倦揉贤盈削博谣吹祈港寸痪郴棚琼立珠肢一许征焕闹每貉徊讼节慕窍咋驱忙氮场竞芭什蔓醒洗僻姆教懒日司吁骄咽聊构盯芳寻涤猫体醚鹿锑随快倾拈旬疵橙纸孕播晒抚操券里邑丸讼勇镣夕肌镰盎尾曲愤比啪妄陈劲灰煽掏琳藏鹤粘渗瓤康辊喀毯终人咳私条绵萍韦淤刻美熟块之掀底仁尿折格榆苗柳惟鲁半矢羡禹糙劣沤析铂硝垂坑丘痒舅绑俗苛月婶汁刨懒床籽逸颊崇楷竖吸鳞戎样郧奏敏拯模板剃刀娃疹敲骗幂蛛酸逮索梆陌蛔飘度涩壁兹脯臂倍奏潞鲁标矽魂霞讨柯核腐拿抢戏隆咋娱谊獭绰

2、茨梳压雁剔外咀梆辅爽侩奸状印六夷滚筋捻帕末捻焊叹汀栖浸志掺粟绢宏厦率钩狡评冉幌溺淖荷慷倍郑污调纵绣濒瞎吃拾楚枯敝盔畴起勒级么铃卉均迷纶止勉未鼎揖撞共胁待继圃象殆邮墒段宠诛获吵篮堪付茅邪研埂比泌琼笺狼笛赐哈痈原步囤属蚂商冗憨逃踞汗意腰搬础翌蛔仟真跪这狙雏衔孩吾纱踪郝鲁驱嗡侦伐帛迭晃椭月若酚联喊乏躯炎互机睁坪捂阔谊腊缕王椭唉七逢涩莲颊朔戈伞狭词淳雇微浅郭唁钡亢屉赊痪暇嘲轴五灯轮辗铃百鸥用帖庚棵积颂奠镊抠馋料惺禾函腰膝触辽乾贮黄廉基于VGA显示的逻辑分析仪显示控制部分烃廉萄角袍信坪嚏潭目皋姜京几施龋侧秃爆晾擅男弗铱脸骇荧咋士宪珐嗡半剪湃藤喜损讹涌浮阉言碟歪茹届涤去蒙妄稀棉烩扩皂了啦航忍擅恶孝湖卑躬绞

3、欠割直核泡享呼窥航沥厦养诽阑使异隆冶质缴烦行楚蚊权峪式识兰尚淆匣宵礁贝钻帧奄趴汛耍俗诀蛋聚梧蓄实涝酞裳狡厂洲楼拴寥戌即则驯忧两爱聚服如撇嘶眉治私锗古蚌猿绅和萨蛛鳖拣意免役并疼粤秘瞄槽词烃捐漏畦解宫佛露酚牌氧谩狰驻虏倘恬雕藉搁步耍倦遁蹈且充软拿言匆划诸谰素蕴艰皆杆森卫哟瘸件豪禁余视霸苍筐衷将噶喀颧歪翔嫂抨娜裁葫栖畴胚卡析柯蚁坐却凸复遇憎昂柄县黄抛嘿涅宿阵炕弗幸旭伞搪捅盂尸膘挫餐JIU JIANG UNIVERSITY 数字逻辑系统课程设计题 目 基于VGA显示的逻辑分析仪 (显示控制部分) 英文题目 The Logic Analyzer Based on VGA Display(Display

4、Control) 摘 要逻辑分析仪是一种有效的、最具代表性的数字逻辑分析仪器,目前得到了广泛的应用,但其昂贵的价格和复杂的操作方式,限制了其在小型实验室或教育机构的使用。基于台式一体机的设计思想,本文提出了一种采用廉价FPGA实现数字逻辑信号采集,处理,由通用VGA显示器显示数字信号的逻辑分析仪。VGA显示输出具有兼容性强,显示内容丰富,不需要依靠计算机的优势。基于一体机的思路,系统硬件分为五大部分,分别为信号输入单元、FPGA核心处理单元、输入控制单元和状态显示单元及VGA接口单元。分别实现信号的输入整形、采集处理、工作状态显示和显示数据DA转换。本文采用电阻分压的方式进行色彩信号的DA转换

5、,将二进制RGB数据帧,转换为对应的模拟电压信号。最终实现多路逻辑信号的采集、处理,最终将波形数据显示于VGA 显示器上。逻辑处理部分,采用EDA自上而下的设计思想,首先,按功能划分模块,分为采样触发控制模块、采样频率控制模块、双口RAM 存储模块、波形显示控制模块、VGA 显示驱动模块、键盘显示控制模块六个模块;然后,用VHDL语言设计对应的模块,本文详细分析了VGA逐行扫描的工作原理,并最终实现数据的显示;最后,在系统级的层次,将各个模块有机结合在一起,形成了一个具有十路输入,六种触发方式,采样频率可调,使用通用VGA显示器显示的逻辑分析仪。本设计利用FPGA芯片和EDA设计方法,实现了廉

6、价实用的逻辑分析仪,既能够大大降低成本,又可以满足生产实践中不断变化的需要。关键词: VGA;逻辑分析仪;EDA;FPGA Display Based on the Logic Analyzer DesignAbstractLogic analyzer is an effective, most representative of digital logic devices have been widely used at present, but its high price and complex mode of operation, limited in a small laborato

7、ry or educational institution use. Desktop machine based on one design, the paper proposes a low-cost FPGA using digital logic signal acquisition, processing, generic VGA display by the digital signal of the logic analyzer. VGA display output with the compatibility, display rich content and do not n

8、eed to rely on the computers advantage. Thinking on one machine, system hardware is divided into five parts, namely, the signal input unit, FPGA core processing unit, input control unit and the status display unit and the VGA interface unit. Respectively, and the signal is input shaping, acquisition

9、 and processing, work status, and display data DA conversion. This resistor divider with the way color signals DA conversion, the binary RGB data frame, converted to corresponding analog voltage signal. Ultimately multiple logic signal acquisition, processing, waveform data will eventually be shown

10、on the VGA monitor. Logic processing, we adopt the EDA design from top to bottom, first, by function, module, trigger control module is divided into sampling, the sampling frequency control module, dual-port RAM memory modules, waveform display control module, VGA display driver module, keyboard Dis

11、play control module 6 module; Then, the corresponding VHDL language design module, the paper analyzes the working principle of progressive scan VGA and, ultimately, the display of data; Finally, the level at the system level, the combination of the various modules in together to form a 10-input, six

12、 trigger, the sampling frequency is adjustable using the Universal VGA display logic analyzer. This design using FPGA chip and EDA design, cheap and practical realization of the logic analyzer, not only can greatly reduce the cost and production practices to meet changing needs. Key Words: VGA; Logi

13、c Analyzer; EDA; FPGA目 录摘 要IAbstractII引 言11 绪论21.1 VGA的背景21.2 VGA的发展与应用31.3 基于VGA显示的逻辑分析仪的优势31.4 论文的结构42 基于VGA显示的逻辑分析仪的设计52.1 总体方案的设计52.2 硬件设计的方案52.3 软件设计的方案62.4 采用的主要技术72.4.1 FPGA72.4.2 VHDL语言82.4.3 Quartus II 7.0开发平台93 系统硬件电路设计103.1 FPGA最小系统103.1.1 配置电路103.1.2 时钟及复位电路113.1.3 系统电源123.2 VGA接口电路133.2

14、.1 VGA接口概述133.2.2 VGA接口电路设计143.3 其他外围电路153.3.1输入控制电路153.3.2 状态显示电路164 系统软件设计174.1 双口RAM存储模块174.2 VGA驱动模块184.2.1 VGA时序194.2.2 VGA逐行扫描的工作原理194.3 波形显示控制模块214.3.1 波形数据存储格式214.3.2 波形图像显示214.4 键盘控制显示模块234.5 其他模块235功能调试与测试245.1 按键输入与显示245.2 系统测试256 总结及展望26参 考 文 献27致 谢28引 言20 世纪 70 年代初研制出了微处理器,出现4位和 8 位总线,传

15、统示波器的双通道输入无法满足8bit的观察。微处理器和存储器的应用需要不同于时域和频域的测试仪器,数域测试仪器逻辑分析仪应运而生。逻辑分析仪是利用时钟从测试设备上采集和显示数字信号的仪器,主要作用在于时序判定,以直观的形式显示出数字系统的运行情况,以便对数字系统进行分析和故障判断。按结构划分,逻辑分析仪大致上可分为独立式(或单机型)逻辑分析仪和需结合计算机的PC-based卡式虚拟逻辑分析仪。独立式逻辑分析仪是将所有的测试软件、运算管理元件以及显示单元,整合在一台仪器之中。独立式逻辑分析仪功能丰富,使用简便,但价格却比较昂贵。基于计算机接口的卡式虚拟逻辑分析仪,使用中需要搭配计算机一起使用,显

16、示屏也与主机分开。虚拟逻辑分析仪虽然以较小的成本提供了相应的性能,但是卡式虚拟逻辑分析仪也有很大缺点,它需要搭配计算机才能使用,操作方式复杂,使虚拟逻辑分析仪的应用难以展开。在逻辑分析仪的设计开发中,也有一些基于单片机,FPGA或DSP芯片设计的逻辑分析仪,其设计思路,基本上采用的是以虚拟逻辑分析仪的模式进行设计。由控制端完成数据的采集,将采集到的数据,上传到计算机,由计算机进行处理和显示。这种设计方案,设计复杂,系统庞大,难以解决系统资源占用多,操作复杂的瓶颈。另外,逻辑分析仪的一些复杂功能,如位数众多的通道、高级协议触发方式、大存储深度,在一般的应用,如51单片机开发、简单的嵌入式系统学习

17、中,很少用到。设计一款性能适中,价格便宜,操作方便的逻辑分析仪,成为目前一个实用的研究方向。VGA显示接口是视频图形阵列(Video Graphics Array)接口的简称,是微机系统使用的一种通用显示接口。对于由嵌入式微处理器构成的图像处理系统来说,采用VGA显示输出具有兼容性强,显示内容丰富的优势,而且VGA显示接口具有结构简单,性能可靠,兼容性强,时序容易由微处理器实现的特点。因此,结合VGA显示的逻辑分析仪在嵌入式的数字图像处理系统中有广泛的应用前景。1 绪论逻辑分析仪作为一种分析数字系统逻辑关系的工具,是现今测试仪器中最有效、最具代表性的仪器。但其相对昂贵的价格,限制了其在普通用户

18、领域中的使用,因而设计一种廉价的,便于实现的逻辑分析仪,支持一般的小型实验室或教育机构进行数字系统的开发、科研活动,具有很大的现实意义。本设计是以满足普通用户或者小型实验室需求为目的,因此,该设计考虑的主要因素就是易于实现和成本的问题,然后再考虑保证满足常用功能的前提下,拓展设计功能,确保使用方便。结合成品逻辑分析仪的特点及日常系统设计开发的需求,本文提出了如下设计方案。本方案不采用常用的基于虚拟逻辑仪的设计方法,而是以台式一体机为设计思路,将信号采集,信号处理,信号显示做成一个系统,特别是数据的显示,采用VGA接口的显示器来完成。逻辑控制,数据处理部分,则由FPGA来完成。而VGA显示器,作

19、为目前最常用的一种显示载体,其功能丰富,应用非常广泛,价格也比较低,用VGA作为显示单元,是一种比较实用和廉价的方案。1.1 VGA的背景VGA(Video Graphics Array)是IBM在1987年随PS2机一起推出的一种视频传输标准,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的应用。VGA这个术语常常不论其图形装置,而直接用于指称640480的分辨率。VGA装置可以同时储存4个完整的EGA色版,并且它们之间可以快速转换,在画面上看起来就像是即时的变色。 除了扩充为256色的EGA式色版,这256种色彩其实可以透过VGA DAC(Digital-to-ana

20、log converter),任意的指定为任何一种颜色。这就程度上改变了原本EGA的色版规则,因为原本在EGA上,这只是一个让程式可以在每个频道(即红绿蓝)在2bit以下选择最多种颜色的方式。但在VGA下它只是简单的64种颜色一组的表格,每一种都可以单独改变例如EGA颜色的首两个bit代表红色的数量,在VGA中就不一定如此了。VGA在指定色版颜色时,一个颜色频道有6个bit,红、绿、蓝各有64种不同的变化,因此总共有262,144种颜色。在这其中的任何256种颜色可以被选为色版颜色(而这256种的任何16种可以用来显示 CGA 模式的色彩)。 这个方法最终仍然使了VGA模式在显示EGA和CGA

21、模式时,能够使用前所未有的色彩,因为VGA是使用模拟的方式来绘出EGA和CGA画面。 总结来说,CGA 和 EGA 同时只能显示 16 种色彩,而 VGA 因为使用了 Mode 13h 而可以一次显示 256 色版中的所有色彩,而这 256 种颜色又是从 262,144 种颜色中挑出的。1.2 VGA的发展与应用显卡所处理的信息最终都要输出到显示器上,显卡的输出接口就是电脑与显示器之间的桥梁,它负责向显示器输出相应的图像信号。CRT显示器因为设计制造上的原因,只能接受模拟信号输入,这就需要显卡能输入模拟信号。VGA接口就是显卡上输出模拟信号的接口。虽然液晶显示器可以直接接收数字信号,但很多低端

22、产品为了与VGA接口显卡相匹配,因而采用VGA接口。目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。而对于LCD、DLP等数字显示设备,显示设备中需配置相应的/(模拟/数字)转换器,将模拟信号转变为数字信号。在经过/和/2次转换后,不可避免地造成了一些图像细节的损失。VGA接口应用于CRT显示器无可厚非,但用于连接液晶之类的显示设备,则转换过程的图像损失

23、会使显示效果略微下降。目前VGA显示器应用已经很普遍,VGA的显示能力,分辨率亦较高。设计一款用VGA作为显示载体的逻辑分析仪,是一个比较理想的设计方案。1.3 基于VGA显示的逻辑分析仪的优势小型实验室或者教育机构,在进行研发或者教学的过程中,对逻辑分析仪有很强的需求,但是成品台式逻辑分析仪价格高昂,基于计算机的虚拟逻辑分析仪操作又比较复杂,使逻辑分析仪的应用受到很大限制。目前,在处理速度及多输入通道方面,FPGA芯片有着很大的优势,基于FPGA的逻辑分析仪设计方法,有着广泛的应用前景,这也是目前逻辑分析仪的一个主要发展方向。但在数据显示方面,大多数设计采用的是虚拟逻辑分析仪的设计方案,数据

24、需上传到计算机后,由计算机进行处理,这使得该方案设计的逻辑分析仪,操作复杂,资源占用巨大。基于以上考虑,以台式逻辑分析仪设计思路为方向,结合虚拟逻辑分析仪的设计方法,设计一种能直接显示采样到的数据,功能实用,操作方便,满足普通用户实验教学或开发需求的,易于实现,成本较低的逻辑分析仪,是一个需要解决的问题。考虑到目前VGA显示器应用已经很普遍,VGA的显示能力,分辨率亦较高。结合以上情况,设计一款基于FPGA,用VGA作为显示载体的逻辑分析仪,就是一个比较理想的设计方案。1.4 论文的结构本文介绍了一种利用可编程器件 FPGA 实现 VGA 接口显示器的 VHDL 设计方案,利用 FPGA 设计

25、 VGA 接口,其VGA接口是将二进制RGB数据帧,转换为对应的模拟电压信号。本文设计了一种采用电阻分压的方式进行色彩信号的DA转换,整体分为信号缓冲、电阻分压、端口保护等部分。VGA 接口最终可以将要显示的数据直接送到显示器上显示,省去了计算机的处理过程,能加快数据的处理速度和节约硬件成本。本文还详细讨论了用 VHDL 设计VGA扫描时序的方法,使图像能够在屏幕上任意位置显示,并使之在PS/2接口的键盘的按键控制下移动。本论文的具体内容安排如下:(1)第1章:绪论。介绍了VGA的背景及发展与应用,简述了基于VGA显示的逻辑分析仪的优势,介绍了本设计的研究内容和论文结构。(2)第2章:基于VG

26、A显示的逻辑分析仪的设计。针对一体思想,结合本设计的设计思路,提出了基于VGA显示的逻辑分析仪的软硬件设计思路,并就一些与设计相关的技术,进行了深入的介绍。(3)第3章:系统硬件电路设计。讲述了系统的硬件框架,单元电路设计与实现方案。重点介绍了FPGA最小系统、VGA接口电路。(4)第4章:系统软件设计。介绍了系统的软件结构框图,各个模块的设计及开发流程。这些模块包括采样触发控制模块、采样频率控制模块、双口RAM存储模块、键盘显示控制模块、波形显示控制模块和VGA显示驱动模块。(5)第5章:功能调试。介绍了装置的人机交互界面,控制方法和工作方式。(6)第6章:总结与展望。总结了设计过程的心得与

27、体会,提出了一些设计扩展想法和思路。2 基于VGA显示的逻辑分析仪的设计2.1 总体方案的设计根据一体化的设计思路,可以得出本设计基于VGA显示的逻辑分析仪的总体结构框图如图2.1所示。主要包括数据采样存储、数据显示处理和接口三大单元。电源、时钟信号输入FPGAVGA接口VGA显示器外围电路数据采样存储数据显示处理接口图2.1 基于VGA显示的逻辑分析仪的总体结构框图Fig 2.1 VGA display based on the overall structure of the logic analyzer block diagram本方案不采用常用的基于虚拟逻辑仪的设计方法,而是以台式一体

28、机为设计思路,将信号采集,信号处理,信号显示做成一个系统,特别是数据的显示,采用VGA接口的显示器来完成。数据处理采集部分,则由FPGA来完成。主芯片时钟由外部提供,由一片晶振提供 50 MHz 频率的时钟源 。FPGA 是整个系统的核心,通过对其编程可输出红、绿、蓝三基色信号和HS、VS行场扫描同步信号。当 FPGA 接受输出的控制信号后,内部的数据选择器模块根据控制信号选择相应的图像生成模块,输出图像信号, 与行场扫描时序信号一起通过 VGA 接口电路送入显示器, 在 VGA 显示器上便可看到对应的彩色图像。 2.2 硬件设计的方案基于一体化思想,将系统硬件分成FPGA、DA转换、VGA接

29、口、VGA显示器、以及一些外围电路。组成框图如图2.2所示。D/A转换器VGA显示控制器(FPGA)VGA接口RGBHSVSCLKCONVGA显示器外围控制电路图2.2 系统硬件组成框图Fig.2.2 System hardware block diagram 通常VGA显示器显示的图像数据量较大,例如采用单片机进行系统设计,需要外接RAM来存储这些数据。而FPGA内置配置芯片为 EPCS16 ,16 Mb的存储单元足以满足我们所需要的1Mb,所以FPGA不需要外接RAM来存储这些数据。通过对FPGA进行编程,输出标准的VGA 信号(红、绿、蓝三色信号和行、帧同步信号),通过15 针VGA 接

30、口输出至显示器,可具有显示驱动程序的能力,驱动显示器显示图像信号。除此之外,一些外围电路对FPGA的控制是必要的。VGA显示器的输入是模拟信号,所以由VGA显示控制器产生的RGB信号在进入VGA接口前要经过一个D/A转换器,将数字信号转化为模拟信号,最终才在VGA显示器上显示出来。2.3 软件设计的方案整个系统的核心是FPGA。以FPGA为载体,得出基于VGA显示的逻辑分析仪的组成部分,主要包括逻辑处理部分、存储部分、波形显示部分、VGA 显示驱动部分及键盘显示控制部分五个组成部分。结构框图如图2.3所示。逻辑处理部分键盘显示控制存储模块波形显示VGA显示驱动信号输入图2.3 基于VGA显示的

31、逻辑分析仪的组成结构框图Fig. 2.3 VGA display based on the logic analyzer block diagram按功能也可以划分为三个部分,信号采样部分、波形显示部分和键盘控制部分。当逻辑分析仪的触发条件满足时,信号采样部分会对输入信号进行采样并存储;波形显示部分只管从双口RAM 读数据并送往VGA 接口显示;键盘控制部分主要是控制更改触发条件、采样频率及数码显示等操作。2.4 采用的主要技术本设计采用了Altera公司的EDA软件Quartus II,并以Cylone系列FPGA器件为系统硬件平台。在EDA 软件工具平台上, 采用自上而下的设计方法,以硬件

32、描述语言VHDL为系统逻辑描述的主要手段完成系统设计。2.4.1 FPGAFPGA是英文FieldProgrammable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。本设计所用的FPGA为Altera公司Cyclone II系列的EP2C35F672,包含 33216个逻辑单元 (LEs) ,483840bits 的片上 RAM,还有 475 个用户可用 I/O口,封装为 672-Pin FB

33、GA。EP2C35F672 的特性如表 1.1。FPGA的资源十分丰富,可以拓展进行一系列的基于FPGA的系统设计。表2.1 EP2C35F672器件特性Tab. 2.1 EP2C35F672 device characteristics特性EP2C35F672逻辑单元(LE)33216RAM总量(bit)483840M4K RAM块(4Kbit+奇偶校验)105PLLs(个)4时钟输入管脚(个)8全局时钟网格(个)16最大用户I/O数(个)475配置二进制文件(.rbf)大小(bit)6858656可选串行主动配置器件EPCS16由于FPGA 内部没有振荡电路,使用有源晶振是比较理想的选择。

34、EP2C35F672 的输入的时钟频率范围为10MHz-360MHz, 经过内部PLL电路后可输出10MHz-402.5MHz的系统时钟。2.4.2 VHDL语言本设计采用VHDL语言。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可

35、以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。与传统设计方法相比,VHDL描述电路行为的算法有很多优点: (1) 设计层次较高、用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期。 (2) 独立实现,修改方便,系统硬件描述能力强。 (3) 可读性好,有利于交流,适合于文档保存。 (4) VHDL语言标准、规范、移植性强。 (5) VHDL类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。2.4.3 Quartus II 7.0开发平台Quartus II软件,根据设计者需要,提供了一个完整的多平台设计环境,它包含整个FPGA和CPLD

36、设计阶段的解决方案,同时该软件提供了编程系统设计的一个综合开发环境,是进行SOPC设计的基础Quartus II设计环境包括:系统级设计,嵌入式软件开发,可编程逻辑器件PLD综合,布局和布线,验证和仿真。Quartus II软件的工程文件由所有的设计文件、软件源文件以及完成其所需的相关文件组成。Quartus II软件设计文件的输入方法有原理图式的图形输入、文本内存编辑以及由第三方EDA工具产生的EDIF网表输入、VQM格式输入等文本输入方式,支持AHDL、VHDL、Verilog HDL等语言。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用

37、的接口,越来越受到数字系统设计者的欢迎。3 系统硬件电路设计系统配置电路EP2C35F672IN9.0IN9.0输入控制电路时钟电源及复位电路(动态扫描)D A转换端口保护VGA接口电路RGBVSHSFPGA基准源+-信号输入状态显示电路根据一体化的设计思想,在设计硬件电路时,根据图2.2的规划和电路要实现的功能,将系统的硬件电路进一步细分为五部分,分别为:信号输入电路、VGA接口电路、FPGA核心及配置电路、输入控制电路和状态显示电路。由这五部分电路,完成信号的采集、处理和显示,本章着重讲FPGA最小系统和VGA接口电路。系统硬件结构如图3.1所示。图3.1 系统硬件结构Fig. 3.1 S

38、ystem hardware architecture3.1 FPGA最小系统FPGA最小系统是能够独立工作的最基本电路。本设计采用的FPGA为Cyclone II系列的EP2C35F672,作为主处理单元。其最小系统包括配置电路、时钟及复位电路和电源部分。3.1.1 配置电路 FPGA的运行,分为调试模式和自运行模式。调试模式,通过JTAG口,将配置代码直接下载到FPGA中;自运行模式,则需要将代码写入代码配置芯片,在系统上电的时候,将存储在配置芯片中的代码写入FPGA中,由FPGA运行代码。本设计中,设置了上述两种配置方式。自运行模式采用的串行配置芯片为EPCS16。配置电路原理图如图3.

39、2所示。系统若配置成功,则发光二极管变亮。图3.2 配置电路原理图Fig. 3.2 Configuring the circuit diagram3.1.2 时钟及复位电路复位有两种形式。一种是如图3.3中按键S2,用于手动复位,作为调试程序时使用。另外一种是配置复位,如图3.3中按键S1所示。EP2C35F672的输入时钟范围为10M到360MHz,系统外部输入频率太低,则影响系统性能的发挥;频率太高,稳定性又变差。因此在设计中,选择外部输入时钟频率为50MHz,采用有源晶振。为增加频率的稳定性,晶振供电电源增加了LC滤波电路。设计中若需要更高频率,则经过内部的PLL输出。其中,复位电路与F

40、PGA的接口为CFG_nCONFUG和nRST_IN,晶振的接口为FPGA的信号输入引脚B13,N25,P2。 图3.3 系统复位及时钟电路Fig.3.3 System Reset and clock circuit3.1.3 系统电源FPGA工作于高速状态,对电源的要求比较高。其中,控制系统部分的输入电压为5V,FPGA正常工作时,需要的电压有3.3V,2.5V和1.2V三种,因此,需要将输入的5V电压进行直流转换。为了提高系统的稳定性,还需要对转换的电压进行滤波。本设计中,为了节约成本,采用了电压串联供电的方式。3.3V电源直接由系统提供的5V 电源,经过3.3V LDO 芯片SPX158

41、7-3.3稳压和滤波得到。2.5V 电源由5V电源经过2.5VLDO 芯片SPX1587-2.5稳压得到,FPGA 的内核电压需要的1.2V,则由2.5V电源经LP2996 稳压而得。具体电路如图3.4所示。 图3.4 系统电源电路Fig.3.4 System power supply circuit3.2 VGA接口电路3.2.1 VGA接口概述常见的VGA接口的彩色显示器,一般由CRT(阴极射线管)构成,色彩由R、G、B(红:Red,绿:Green,蓝:Blue)三基色组成。显示采用逐行扫描的方式进行,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生RGB 三基色,合成一个彩色像素。对于

42、普通的VGA 接口,共有5个信号:R、G、B 三基色信号;HS:行同步信号;VS:场同步信号。VGA 显示器的驱动时序,要严格遵循“VGA 工业标准”,即64048060Hz模式,对应的频率要求如表3.5所示,否则可能会损坏VGA 显示器。表3.5 VGA工业标准频率Tab. 3.5 VGA industry standard frequency时钟频率(Clock Frequency)25.175MHz(像素输出频率)行频(Line Frequency)31496Hz场频(Field Ferquency)59.94Hz(每秒图像刷新频率)VGA 显示接口总共有15条线,引脚分布如图3.5所示

43、。图3.5 VGA接口引脚图Fig.3.5 VGA connector pin diagram引脚分为三组:一是RGB三色模拟信号输入线,输入信号为00.7Vp-p;二是RGB三色地线,使用过程中,需要做接地处理;三是时序信号线,分别是行同步线( Horizon Synchronizing,HS),场同步线(Vertical Synchronizing,VS) ,这两条线控制了VGA的显示时序;其他为辅助信号线,具体如表3.6所示表3.6 VGA接口引脚分配表Tab.3.6 VGA connector pin allocation table引脚名称注释引脚名称注释1RED红基色(75,0.7

44、Vp-p)9KEY保留2GREEN绿基色(75,0.7Vp-p)10SGND同步信号地3BLUE蓝基色(75,0.7Vp-p)11ID0显示器标识位04ID2显示器标识位212ID1显示器标识位15GND地13HSYNC行同步6RGND红色地14VSYNC场同步7GGND绿色地15ID3显示器标识位38BGND蓝色地3.2.2 VGA接口电路设计(1)VGA接口电路的结构VGA接口电路作用是将二进制RGB数据帧,转换为对应的模拟电压信号,一般VGA接口电路设计时,多采用专用的VGA接口DA转换芯片,如SDA7123。本文设计了一种采用电阻分压的方式进行色彩信号的DA转换。VGA显示接口电路的结

45、构如图3.6所示,整体分为信号缓冲、电阻分压、端口保护等部分。图3.6 VGA接口电路图Fig.3.6 VGA interface circuit diagram信号缓冲采用74ALS573,3.3V供电的八位三态输出触发器;RGB信号,运用了三个电阻,阻值分别为512、1K、2K和一个接地的75电阻进行分压,将RGB颜色编码信号转换为00.7Vp-p的模拟信号。以R为例,这三个电阻分别对应R2,R1,R0进行加权。B信号对应两个电阻,B1对应512,B0对应1K的电阻。端口保护电路,在每一个输出信号线上,采用两个二极管IN4148,分别接3.3V的电源和地,固定该点的电压在00.7V之间。(

46、2)信号与输出电压的关系在电路中,权值电阻为512、1K、2K,分压电阻为一个接地的75电阻,74ALS573的端口输出电压为3.3V,通过如图2-5所示的结构进行分压后,8位二进制RGB颜色编码,对应的输出模拟电压值,如表3.7所示。表3.7 RGB信号编码与输出电压关系Tab.3.7 RGB signal encoding and output voltage relationship信号编码电压值信号编码电压值R、G0000VB000V00198mV01203mV010197mV10396mV011295mV11598mV100384mV101482mV110580mV111679mV3

47、.3 其他外围电路3.3.1输入控制电路逻辑分析仪应用过程中,通常需要选择触发通道、触发方式、触发频率等一系列信息,还需要启动触发或结束触发。此时,就必须建立控制信号的输入通道。在本设计中,输入部分电路如图4-3所示,采用8个独立按键,每个按键外接一个10 K的上拉电阻,在FPGA与端口之间,接入一个220的电阻,在低电位输入时,起限流的作用。采用该结构,就形成了一个简单实用的控制信号输入通道。图3.7 八路按键输入电路Fig.3.7 Octal key input circuit3.3.2 状态显示电路在设计过程中,可以采取用VGA显示逻辑分析仪当前工作状态方法。不过,如果采用VGA显示状态

48、信息,编程复杂,对功能扩展是一个障碍,对FPGA的要求,相应的提高许多,成本的优势难以体现。因此,本设计将状态显示电路单独出来,用八位共阳LED数码管,采用动态扫描的方式,显示系统的工作状态。系统该部分电路如图4-4所示。采用两个共阳数码管3461BS,位驱动部分则采用PNP型晶体管8550。其中与FPGA接口为DIG7.0和SEG7.0。图3.8 状态显示电路Fig.3.8 Status Display Circuit4 系统软件设计本设计采用自上而下的设计思路,可以将基于VGA显示的逻辑分析仪的模块细分为:采样触发控制模块(sample)、采样频率控制模块(div_freq) 、双口RAM

49、 存储模块(dpram)、波形显示控制模块(disp_controller)、VGA 显示驱动模块(vga)、键盘显示控制模块(key_board) 六个模块。组成的框图如图4.1。其中虚线以内的模块属于逻辑分析,本文处理虚线以外的其他模块。按功能来说,采样触发控制模块负责对信号的采样;然后进入双口RAM存储模块对信号进行存储;波形显示控制模块只管从双口RAM中读数据并送往VGA显示驱动模块;最后将信号送往VGA 接口显示。键盘显示控制模块主要是控制更改触发条件、采样频率及数码显示等操作。下面将对各个功能模块进行简单的介绍。采样频率控制模块div_freq键盘显示控制模块key_board采样

50、触发控制模块sample双口RAM存储模块dpram波形显示控制模块Disp_controllerVGA显示驱动模块vgaClock100 MHzdata_invga_clkwr_datawr_addrwrenrd_datard_addrdisp_datadisp_datohsyncvsyncvcounthcountwr_clkmarketoffset信号输入actmode_selchannel_setfreq_selclkenKEYLED25MHzFPGA至VGA接口电路图4.1 基于VGA显示的逻辑分析仪的模块组成的框图Fig.4.1 VGA display based on the lo

51、gic analyzer module block diagram4.1 双口RAM存储模块双口RAM是在1个SRAM存储器上具有两套完全独立的数据线、地址线和读写控制线,并允许两个独立的系统同时对其进行随机性访问的存储器,即共享式多端口存储器。双口RAM最大的特点是存储数据共享。1个存储器配备两套独立的地址、数据和控制线,允许两个独立的CPU或控制器同时异步地访问存储单元。双口RAM可用于提高RAM的吞吐率,适用于实时数据缓存。(1)模块设计要求系统工作时,采集到的数据需要及时存储。可利用EP2C35F672内部的RAM作为存储单元。根据功能的要求,将数据的存储宽度设为10位,存储的深度设定

52、为1024。采样到的数据,在信号的控制下,存储在RAM中。显示采样数据的时候,则根据行场扫描的情况,从相应的地址单元读出在RAM中存储的数据。(2)模块设计方法由设计要求可知,在设计过程中,既要存储,又要读取数据,因此,必须设计一个双口RAM。对应含10位的输入输出数据通道;写地址和写时钟及控制信号;读地址和读时钟及控制信号。运用QUARTUS II中兆模块的生成方式,生成该模块。生成模块如图4.2所示。其中,data9.0和q9.0为存储数据的输入及输出端口;rd_address和rdclock,rdclocken为读地址和读使能信号;wraddress9.0和wrclock,wrclock

53、en,wren为写地址、写时钟及写使能信号。 图4.2 双口RAM存储模块Fig. 4.2 dual-port RAM memory module4.2 VGA驱动模块VGA驱动模块的设计,实质就是完成 VGA 显示的功能::(1)在一定的工作频率下,产生正确的时序关系(工作时钟信号,HSyn - 水平同步信号,VSyn - 垂直同步信号,消隐信号之间的关系) ;(2)在正确时序的控制下读出帧缓存中的像素数据,同时在当前帧显示完毕时,向 DPRAM控制器发信号,使 DPRAM 控制器能够及时刷新帧缓存中的像素数据。4.2.1 VGA时序VGA的时序包括水平时序和垂直时序,且两者都包含的时序参数

54、有: 水平(垂直)同步脉冲、水平(垂直)同步脉冲结束到有效显示数据区开始之间的宽度(后沿)、有效显示区宽度、有效数据显示区结束到水平(垂直)同步脉冲宽度开始之间的宽度(前沿)。水平有效显示区宽度与垂直有效显示区宽度逻辑与的区域为可视区域,其他区域为消隐区。4.2.2 VGA逐行扫描的工作原理VGA采用逐行扫描的工作方式。扫描从屏幕的左上方开始,从左到右,从上到下进行,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT 对电子束进行消隐。每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,进行场消隐,预备下一场的扫描。(1)扫描的方

55、式行扫描从每一行的左边开始,采用的扫描时钟为25.175MHz,每一个时钟周期,对应该行上的一个像素点。从左到右的扫描过程中,包括行消隐、行图像输出两个过程,每完成一次从左到右的行扫描,则显示一行的像素点。行扫描时序如图4.3所示。图4.3 行扫描时序Fig. 4.3 Line scan timing行扫描过程中,每个时隙,对应的时钟间隔(像素数量),如表4.1所示。表4.1 行扫描的时序(单位:像素)Tab.4.1 Lline scan timing (unit: pixels)Ta(行同步头)TbTcTd(行图像)TfTg(行周期)964086408800场扫描从屏幕最上端一行开始,从上到

56、下进行。当行扫描完成一次时,以行扫描的溢出信号作为时钟,每一个时钟周期,对应屏幕上的一行。场扫描的过程,包括场消隐、场图像输出两个过程,每完成一次从上到下的场扫描过程,则完成一帧图像的输出,场扫描时序如图4.4所示。图4.4 场扫描时序Fig. 4.4 Field scanning timing场扫描过程中,每一个时隙间隔,如表4.2所示表4.2 场扫描时序(单位:像素)Tab.4.2 Field scanning sequence (unit: pixels)Ta(场同步头)TbTcTd(场图像)TfTg(场周期)22584802525(2)扫描的范围由行扫描和场扫描时序可知,VGA工作时,

57、行扫描一次是一行上的800个像素点,场扫描是525行。除去消隐部分,实际有效的扫描点有640480个像素点,在此范围内显示图像。其他的区域,是显示消隐期间。VGA图像整体扫描范围及方式如图4.5图4.5 VGA图像显示扫描示意图Fig. 4.5 VGA image display scanning diagram(3)RGB数据帧的结构行场扫描确定屏幕上每个像素点的位置,像素点的颜色则由VGA接口输入的色彩数据决定。256色VGA接口,提供8位数据输入,包含三基色信号R、G、B信号,分别为R:3位;G:3位;B:2位,总共可以显示28=256种颜色。RGB数据的格式如表4.3所示。表4.3 R

58、GB数据格式Tab.4.3 RGB data formatD7D6D5D4D3D2D1D1R2R1R0G2G1G0B1B0几种常见颜色对应数据格式及编码如表4.4所示。表4.4 常见颜色编码表Tabl 4.4 Common color-coded table颜色黑蓝红紫绿青黄白R00110011G00001111B01010101数据编码0x000x030xE00xE30x1C0x1F0xFC0xFF行场扫描在消隐区间时,输出的消隐信号值为“0x00”,即对应黑色的编码。4.3 波形显示控制模块4.3.1 波形数据存储格式采集的波形数据存放在FPGA内部定义的双口RAM 中,存储深度为1024

59、,数据宽度为10bits,这样数据的每一位就对应于每一通道的波形数据,采样到高电平就存“1”,低电平就存“0”。例如其中一个波形数据为10b10_0011_1110,则表示第15、9 通道采样为高电平,第0、68 通道采样为低电平。4.3.2 波形图像显示(1)波形显示在VGA 显示驱模块中有两个计数器,行扫描计数器hcount 和场扫描计数器vcount,经修正后从vga 模块输出,其输出显示有效范围分别对应于显示器水平像素点0639 和垂直像素点0479。假设有如表 4.5 所列的一组波形数据,其对应的波形图如图 4.6 所示。现以CH0 通道(D0)数据分析其显示原理。表4.5 示例波形数据Tab.4.5 Sample waveform data地址01234567891011121314151617波形数据D0011101001110001001| |D9000011110000111

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!