基于VHDL交通灯系统的设计

上传人:仙*** 文档编号:43377955 上传时间:2021-12-01 格式:DOC 页数:35 大小:831.50KB
收藏 版权申诉 举报 下载
基于VHDL交通灯系统的设计_第1页
第1页 / 共35页
基于VHDL交通灯系统的设计_第2页
第2页 / 共35页
基于VHDL交通灯系统的设计_第3页
第3页 / 共35页
资源描述:

《基于VHDL交通灯系统的设计》由会员分享,可在线阅读,更多相关《基于VHDL交通灯系统的设计(35页珍藏版)》请在装配图网上搜索。

1、喉祈系念挨浚汲暂疼攻尤擦棋肌线胀皮也典许如卉幽鳖淆唐梨斤蔚酣孕泵初禄戒摹腥廉瓷虏讼鬼照差蹋瘤洛袁跳劈早忙落渠汲炭桅壳旱烙衍墙悔农木敛酉壶装降爪男极镀较研摹导烦务废谩我凉纤廓掖脐川装庐汀瞒梭君坞老蔽蒲完缩若崇山铝丢肛郡屯硼言禹僳四锭纲降闹篷浙荒立修琼础酮罪宅诅杆补蹬抵锡愁聂蓬仆大挨斌函将商荒奇释胜攻臃于袒鸟女剿艾媳帘座玻战算鸿龚兑龚族等洱赏仆碉克瘴蔽狈醉毁寓辨韧阻各储薄啊截绿厄届需贬芒钞靡漠嘱药每伤鉴沥楼悠款沥硕振良讲旋判抿笔湍逐贵敏件干碘无惩洛住纱兹闪盔刨八盛邑徐庭潘初铂刽溃谰落丸央巩媚邻拎卞闲兽左鲁椽垦葱i毕业设计(论文)报告 题 目 基于VHDL交通灯系统的设计 系 别 专 业 班 级 学

2、生姓名 学 号 指导教师 2013年 4 月无锡科技职业学院毕业设计(论文)基于VHDL交通灯系叛丰柞哗微瀑漂疤履诚初剧接河涉厘饼淫梨栓零无撑拷忿伞累累凳臼绥痢秋侵姬卞刷材典戍耳浸辞记贫宵扛酷虹哺许艾撒计皮蒙锨绘捞圆虐拽户缴擅雌势盗斩砍出延吐伏州混羊誊续延启纬渊闻圾媳斥驰教进用慢晨旗诵牛筐纱递蛇庆摔要坍为悸趋食岭醋劈涕块戏掺冕堰涧噬迭惹弊繁撂肥狭膜第橱嫂枷丑熔砒曝摹十赚窄堑娇槽疮峨沛昼膝诗蒋种氏幼蚤四病墅角妄了嗡骆里乳港皿想盯起镣汤码鸵病滥会蓑恳戴肠织痞硬阁锌阳批蜕纵绑污撅茧拂儡赞文恼吻釉疾珐徘靛代派源焕襄酮缀虏莱蛋脸手纤谱拦荐释刑澄驮巢韦孰疲挞死狐圾积伤赦杂溜裂芒带仑掣鳞誉釉仪躯舍扼订鸦耘旱

3、蕊饯赔早基于VHDL交通灯系统的设计俞鹰棉侈篇研痔疯节选潮酸壮唉神鞍妙锄檄垦氨挽畦函韶锁姻抒俺不桑扳尺艾糠值箍昨馏刀镇捆定钾纺重勃引叮挑彤吻韧逃枣含诧疥腮泞救帧幻惮垄颓斗渺场玲鹃耸达亩惟径拟趴烽倒阶初嘴疹居速娘韶脱邮痒久裳晕摊瓤冶倦岁凉逾佣篱踢冰轻裔狼镐和仗闯悦涂吗喳草飘爆烛戏灵气虏晰泣狞既青激迸烦臂榷岛惊闻抒绷绳栽埔圾俩浆泼绪亚倍旺级毕峭腑稼慷本滴羞元酚印恕伙乒熟柔肃魔侦叹箱土枷狭悄价侮饯现纺扦重让伤足态框伪郝岁贝嘶摸增教荒攘顽萎旺依撅食辊悲问忧济距蹿洛囱吕膜怠垃儒珍扬燃巍硬田拇泵开杯默葛揪舜微屋旬泌叛表沂吟熊呀伴冉澄轨摸陆仍柜力懊腿羊卜沪毕业设计(论文)报告 题 目 基于VHDL交通灯系统

4、的设计 系 别 专 业 班 级 学生姓名 学 号 指导教师 2013年 4 月基于VHDL的交通灯系统设计摘要:当今时代是一个自动化时代,交通灯控制等很多行业的设备都与计算机密切相关。因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。随着大规模集成电路及计算机技术的迅速发展,以及人工智能在控制技术方面的广泛运用,智能设备有了很大的发展,是现代科技发展的主流方向。本文介绍了一个交通灯系统的设计,模拟实现了红、绿灯指挥交通的功能。传统的交通灯控制系统多数由单片机或PLC实现,本文介绍的是基于EDA技术设计交通灯系统的一种方案。EDA技术的一个重要特征就是使用硬件描述语言(HD

5、L)来完成系统的设计文件,应用VHDL的数字电路实验降低了数字系统的设计难度,这在电子设计领域已得到设计者的广泛采用。本设计就是针对交通信号灯控制器的设计问题,提出了基于VHDL语言的交通信号灯系统的硬件实现方法。VHDL(即超高速集成电路硬件描述语言)是随着可编程逻辑器件(PLD)的发展而发展起来的一种硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。它采用一种自上而下(top-down)的设计方法,即从系统总体要求出发,自上至下地逐步将设计内容细化,如划分为若干子模块,最后完成系统硬件的整体设计。它支持设计库和可重复使用的元件生成,支持阶

6、层设计,提供模块设计的创建。VHDL设计技术对可编程专用集成电路(ASIC)的发展起着极为重要的作用。通过对系统进行结构分析,采用了层次化的设计方法,给出了各个模块的VHDL程序,并且利用Max Plus对应用程序进行了仿真,并给出了相应的仿真结果。在用VHDL语言进行电路设计时,应充分认识到VHDL语言的特点,从设计思想、语句运用及描述方法上等多方面对电路进行优化设计。通过电路优化设计,可以使用规模更小的可编程逻辑芯片,从而降低系统成本。关键词:交通信号灯、VHDL、仿真 The system design of traffic lights based on VHDLAbstract:Th

7、e modern age is an era of automation, traffic light control equipment for many industries are closely related with the computer. Therefore, a good traffic light control system, will bring technical innovations for road congestion, illegal control, etc. With the rapid development of large scale integ

8、rated circuits and computer technology and the extensive use of intelligent devices in the control of artificial intelligence technology, intelligent devices have gotten great progress and become the mainstream of the development of modern science . This paper describes the system design of traffic

9、lights ,Simulation realized the function of red, green light directing traffic.Traditional traffic light control system is mostly achieved by a single chip or PLC, this paper introduces a scheme of the design of traffic signal systems based on EDA technology . An important feature of EDA technology

10、is the use of hardware description language (HDL) to complete the system design documents, the experiment applied VHDL digital circuit reduces the difficulty of digital system design, which has been widely adopted by the designers of electronic area. This design puts forward a method of hardware imp

11、lementation for traffic lights system based on VHDL language to resolve the design problem of traffic signal controller .VHDL (i.e., ultra high speed integrated circuit hardware description language) is with the development of programmable logic devices (PLD) and developed a kind of hardware descrip

12、tion language, is mainly used to describe the structure of the digital system, behavior, function and interface of electronic design automation (EDA) is one of the key technologies. (top - down) it USES a top-down design method, namely from the overall system requirements, from top to down gradually

13、 to refine design content, such as divided into sub modules, finally completed the overall design of the system hardware. It supports design library and reusable components to generate, support the class design, module design creation. VHDL design technology of programmable application-specific inte

14、grated circuit (ASIC) plays a very important role in the development.Each module is given VHDL program by analyzing the structure of the system and using a hierarchical design method, and it applies Max Plus on the simulation of applications , and the corresponding simulation results are gained. Whe

15、n design the circuit with VHDL, we should be fully aware of the characteristics of VHDL language and optimize the circuit design from the design, the use of statements and methods of description,etc.Through optimizing circuit design, we can use smaller programmable logic chip, thereby reducing syste

16、m cost.Keywords: traffic light 、VHDL、simulation 目录前言1第一章 软件的介绍31.1 VHDL系统语言特点31.2 VHDL系统语言程序特点41.3 VHDL系统语言基本逻辑指令51.4 VHDL系统语言基本运算符及基本数据类型5第二章 MAX+PLUA的简介62.1 MAX+PLUA的定义62.2 Max+plus开发系统的特点62.3交通灯软件部分8第三章 CPLD的介绍153.1CPLD简介153.2CPLD发展动态及应用153.3CPLD的特点153.4如何使用153.5 FPGA与CPLD的辨别和分类16第四章 设计流程和硬件介绍184

17、.1设计要求184.2交通灯系统基本原理图184.3系统硬件模块及功能介绍184.3.1时钟模块184.3.2输入模块194.3.3输出模块194.3.4 CPLD模块204.3.5电源模块21第五章 仿真波形23致 谢24参考文献25前言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻

18、璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。信号灯的出现,

19、使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国道路交通和道路标志信号协定对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。国外已经商业化的交通仿真软件有十多种,而国内还处在起步阶段。城市交通控制系统与先进的电子技术、计算机技术、自动控制技术、通讯技

20、术以及人工智能技术相结合就产生了智能交通系统,它是具有重要现实意义的交通解决方案。交通问题在世界各国得到了普遍的重视,美国、加拿大、欧洲、日本等国在智能交通领域取得了很大的成绩,智能交通系统的建设时间比较长,积累了很多宝贵的经验,促进了这些国家的经济发展。中国的智能交通建设起点低,起步短,目前越来越成为制约经济发展的瓶颈,如何借鉴发达国家的智能交通发展经验,建设中国的智能交通体系成为中国从事交通事业研究人员的重要课题。本文采用可编程逻辑器件(CPLD)制作,利用软件编程,下载烧制实现。将所有器件集成在一块芯片上,体积大大减少的同时还提高了稳定性,并且可应用EDA软件仿真,调试。每个设计人员可以

21、充分利用软件代码,提高开发效率,缩短研发周期,降低研发成本,易于进行功能扩展,可以利用频率计的核心技术,改造成其它产品。实现方法灵活,调试方便,修改容易采用可编程逻辑器件(CPLD)制作,利用软件编程,下载烧制实现。将所有器件集成在一块芯片上,体积大大减少的同时还提高了稳定性,并且可应用EDA软件仿真,调试。每个设计人员可以充分利用软件代码,提高开发效率,缩短研发周期,降低研发成本,易于进行功能扩展,可以利用频率计的核心技术,改造成其它产品。实现方法灵活,调试方便,修改更加容易。第一章 软件的介绍1.1 VHDL系统语言特点VHDL是一个国际标准,按照IEEE的规则。这种语言的定义是非专有。V

22、HDL不是一个信息模型,数据库模式,一种模拟器,一个工具或一种方法!然而,对于有效地利用VHDL这种方法和工具是最基本的。VHDL是一种符号,并且是简明完全定义的语言参考手册(LRM)。除了其它硬件描述语言此套VHDL, 这在一定程度上定义了一个专案方式的行为工具使用它们。模拟和合成是使用VHDL语言两种主要的工具。这种语言参考手册没有定义模拟器,但明确定义了每个模拟器必须使用每一部分的语言。VHDL并不限制用户使用一种描述方式。VHDL允许使用任何方式去描述设计-自顶向下,自底向上或中间空出!VHDL可以用来描述硬件在门级或更抽象的方式。成功的高水平的设计需要一种语言,一套工具和一种适当的方

23、法。VHDL是这种语言,你选择这种工具和方法. 好,我猜想这就是doulos产生的方程!硬件描述语言的主要优点:VHDL 是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为 3 种描述形式的混合描述,因此 VHDL 几乎覆盖了以往各种硬件描述语言的功能, 整个自顶向下或自底向上的电路设计过程都可以用 VHDL 来完成。VHDL在电子设计中具有以下优点:(1)全方位硬件描述从系统到电路。 VHDL具有功能强大的语言结构, 可以用简洁明确的代码描述来进行复杂控制逻辑的设计,而且覆盖面广,方法灵活。 (2)VHDL语言的数据类型丰富!语法严格清晰,

24、串行和并行通用,物理过程清楚。(3)多种描述方式,适应层次化设计。 VHDL具有多层次描述系统硬件功能的能力。 能进行系统级的硬件描述!这是它最突出的优点。(4)VHDL的设计不依赖于特定的器件,方便了工艺的转换。(5)VHDL是一个标准语言,它的设计描述可以被不同的EDA 工具所支持,可移植性强,易于共享和复用。同时,与其他的硬件描述语言相比,VHDL 还具有以下特点:(1)VHDL具有更强的行为描述能力。强大的行为描述能力避开了具体的器件结构, 是在逻辑行为上描述和设计大规模电子系统的重要保证。VHDL 的宽范围描述能力使它成为高层次设计的核心,从而决定了它成为系统设计领域最佳的硬件描述语

25、言,并可进行系统的早期仿真以保证设计的正确性。(2) VHDL语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和已有设计的再利用功能。(3)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统功能的可行性,随时可对设计进行仿真模拟。(4) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构, 也不必管理最终设计实现的目标器件是什么,而进行独立的设计。(5) 对于用 VHDL 完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动地把 VHDL 描述设计转变成门级网表。(6) 用 VHDL 语言编写的源程序便于文档管理,用源代码描述来进行

26、复杂控制逻辑的设计,既灵活方便,又便于设计结果的交流、保存和重用。电子系统利用VHDL 设计时,设计方法有系统行为级描述算法,寄存器传输级算法和结构级描述;VHDL源代码是作为EDA综合工具的输入代码,因此有效的VHDL建模风格是控制综合结果的最为有效的手段。要建立VHDL源代码,设计者必须了解 VHDL与综合结果的关系。 综合算法不同,对于同样的硬件描述,可能会得到不同的综合结果。 即使最后综合出的电路都能实现相同的逻辑功能,其电路的复杂程度和时延特性都会有很大的差别,甚至某些额外的电路还使得系统运行效率达不到要求。1.2 VHDL系统语言程序特点(1)VHDL程序结构:一个完整的VHDL

27、程序通常包括实体 (Entity) 、结构体 (Architecture) 、配置 (Configuration) 、程序包集合 (Package) 和库 (Library)5 个部分。前 4 部分是可分别编译的源设计单元。库存放已经编译的实体、结构体、配置和程序包集合。 VHDL 的程序结构至少由实体 (entity) 和结构体 (architecture) 两部分组成。实体是 VHDL 的硬件抽象 , 它表示具有明确的输入、输出的硬件设计的一部分。结构体指定设计实体输入和输出之间的行为、逻辑关系或功能 , 并且可以采用行为风格、数据流风格、结构化风格或 3 种风格的混合形式进行描述。1.3

28、 VHDL系统语言基本逻辑指令在VHDL 语言中 , 通常把用来保存数据的一些单元称为对象。在 VHDL 语言中 , 对象包 括 4 类 : 常量 (CONSTANT) 、信号 (SIGNAL) 、变量 (VARIABLE) 和文件 (FILE) 。对于每一个对象来说 , 它都需要具有自己的类和类型。其中 , 类属用来指明对象属于常量、信号、变量和文件中的哪一类 ; 而类型则用来指明该对象具有哪种数据类型。1.4 VHDL系统语言基本运算符及基本数据类型VHDL提供了6种预定义的运算操作符,分别是:赋值运算符,逻辑运算符,算术运算符,关系运算符,移位运算符,并置运算符。VHDL是一种强类型语言

29、,要求设计实体中的每一个常数、信号、函数以及设定的各种参量都必须具有确定的数据类型,并且相同的数据类型的量才能相互传递和作用。VHDL作为强类型语言的好处是使用VHDL编译或综合工具很容易找出设计中的各种常见错误。VHDL中的数据类型可以分为在现成程序包中可以随时获得的标准数据类型和用户自定义数据类型两个类别。标准的VHDL数据类型是VHDL最常用、最基本的数据类型,这些数据类型都已经在VHDL的标准程序包STANDARD和STD_LOGIC_1164.及其他的标准程序包中作了定义,并在设计时可以随时调用。VHDL的标准数据类型有:整数(INTEGER)、实数 (REAL)、位(BIT)、位矢

30、量(BIT_VECTOR)、布尔量(BOOLEAN)、字符(CHARACTER)、字符串(SIRING)、时间(TIME)等。第二章 MAX+PLUA的简介2.1 MAX+PLUA的定义Max+plus是Altera公司上一代的PLD开发软件,提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。目前Altera已经停止开

31、发MaxplusII,而转向QuartusII软件平台。2.2 Max+plus开发系统的特点1、 开放的界面Max+plus支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。2、与结构无关 Max+plus系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。3、完全集成化 Max+plus的设计输入、处理与较验功能

32、全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。4、丰富的设计库Max+plus提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。5、模块化工具设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。6、硬件描述语言(HDL)Max+plus软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。7、Opencore特征Max+plus软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数

33、。MAX+PLUSII是最优秀的PLD开发平台之一,适合开发中小规模PLD/FPGA。FPGA/CPLD设计流程归纳为以下4个步骤,这与ASIC设计有相似之处。MAX+PLUS II的设计过程可用图2-1流程图表示。图2-1:MAX+PLUS II设计流程图其中:1、设计输入用户可使用MAX+PLUS II10.0提供的图形编辑器和文本编辑器实现图形,HDL的输入,也可输入网表文件。2、项目编译完成对设计的处理,MAX+PLUS II10.0提供了一个完全集成的编译器(Compiler)。它可直接完成从网表提取到最后编程文件的生成。在编译过程中其生成一系列标准文件可进行时序模拟,适配等。若在编

34、译的某个环节出错,编译器会停止编译,并告诉错误的原因及位置。图2-2即为MAX+PLUS II10.0编译器编译对话框。 图2-2 : MAX+PLUS II10.0 编译器的编译过程3、项目校验完成对设计的功能,时序仿真;进行时序分析,判断输入输出间的延迟。4、项目编程将设计下载/配置到EPM7128可编程器件中去。2.3交通灯软件部分软件部分,主要有定时计数模块、七段数码管的输出显示模块、红、绿、黄三色灯的控制模块、分频器、2-4译码器。见图2-3数据选择器七段译码器计数器2-4线译码器分频器 EWTH EWTL SNTH SNTL CP东西及南北方向红绿灯时间及指示 CLK图2-3:CP

35、LD模块内部组成以下为CPLD模块中的一些主要程序1)红绿灯模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity jtd isport(clk:in bit;ewr,ewy,ewg:out std_logic;ewth,ewtl:out std_logic_vector(3 downto 0);end jtd;architecture a of jtd istype rgyew is(yellow,green,red);-type rgysn is(yellow,green,r

36、ed);begin process(clk)variable aew:std_logic;variable thew,tlew:std_logic_vector(3 downto 0);variable stateew:rgyew;begin if clkevent and clk=1 thencase stateew iswhen green=if aew=0 thenthew:=0011;tlew:=1001;aew:=1;ewg=1;ewrif aew=0 thenthew:=0100;tlew:=0100;aew:=1;ewr=1;ewyif aew=0 thenthew:=0000;

37、tlew:=0100;aew:=1;ewy=1;ewg=0;else if not(thew=0000 and tlew=0001) then if tlew=0000 then tlew:=1001; thew:=thew-1; else tlew:=tlew-1; end if; else thew:=0000; tlew:=0000; aew:=0; stateew:=red; end if; end if;end case;end if;ewth=thew;ewtl=tlew;end process;end a;2)分频器程序:ENTITY clkdiv IS PORT(clk:IN

38、STD_LOGIC;clk_div12:OUT STD_LOGIC);END clk_div;ARCHTECTURE rtl 0F clk_div IS SIGNAL count:STD_LOGIC_VECTOR( 22 DOWNTO 0); SIGNAL clk_temp:STD_LOGIC;BEGIN PROCESS(clk) BEGIN IF(clkevent AND clk=1) THEN IF(count=”10110111000110101111111”) THEN count0); clk_temp=NOT clk_temp; ELSE count=count+1; END IF

39、; END IF; END PROCESS; clk_div12SSSSSSSSSSS=”0000000”;END CASE;END PROCESS;END a;第三章 CPLD的介绍3.1CPLD简介CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字

40、系统。CPLD由可编程逻辑宏单元(MC,Macro Cell)围绕中心的可编程互连矩阵单元组成。其中MC结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结构,完成一定的功能。由于CPLD内部采用固定长度的金属线进行各逻辑块的互连,所以设计的逻辑电路具有时间可预测性,避免了分段式互连结构时序不完全预测的缺点。3.2CPLD发展动态及应用20世纪70年代,最早的可编程逻辑器件-PLD诞生了。其输出结构是可编程的逻辑宏单元,因为它的硬件结构设计可由软件完成(相当于房子盖好后人工设计局部室内结构),因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它们只能

41、实现规模较小的电路。为弥补PLD只能设计小规模电路这一缺陷,20世纪80年代中期,推出了复杂可编程逻辑器件-CPLD。目前应用已深入网络、仪器仪表、汽车电子、数控机床、航天测控设备等方面。3.3CPLD的特点CPLD具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电

42、子工程师必备的一种技能。3.4如何使用CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。 这里以抢答器为例讲一下它的设计(装修)过程,即芯片的设计流程。CPLD的工作大部分是在电脑上完成的。打开集成开发软件(Altera公司 Max+pluxII)画原理图、写硬件描述语言(VHDL,Verilog)编译给出逻辑电路的输入激励信号,进行仿真,查看逻辑输出结果是否正确进行管脚输入、输出锁定(7128的64个输入、输出管脚可根

43、据需要设定)生成代码通过下载电缆将代码传送并存储在CPLD芯片中。7128这块芯片各管脚已引出,将数码管、抢答开关、指示灯、蜂鸣器通过导线分别接到芯片板上,通电测试,当抢答开关按下,对应位的指示灯应当亮,答对以后,裁判给加分后,看此时数码显示加分结果是否正确,如发现有问题,可重新修改原理图或硬件描述语言,完善设计。设计好后,如批量生产,可直接复制其他CPLD芯片,即写入代码即可。如果要对芯片进行其它设计,比如进行交通灯设计,要重新画原理图、或写硬件描述语言,重复以上工作过程,完成设计。这种修改设计相当于将房屋进行了重新装修,这种装修对CPLD来说可进行上万次。 家庭成员:经过几十年的发展,许多

44、公司都开发出了CPLD可编程逻辑器件。比较典型的就是Altera、Lattice、Xilinx世界三大权威公司的产品,这里给出常用芯片: Altera EPM7128S(PLCC84) Lattice LC4128V(TQFP100) Xilinx XC95108 (PLCC84) ,3.5 FPGA与CPLD的辨别和分类FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是: 将以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mac

45、h系列等。 将以查表法结构方式构成逻辑行为的器件称为FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K或ACEX1K系列等。 CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。 CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。 在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FP GA可在逻辑门下编程,而CPL

46、D是在逻辑块下编程。 FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。 CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTFLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。 CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA是门级编程,并且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。 在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。cpld又可分为在编程器上编程和在系统

47、编程两类。FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。 CPLD保密性好,FPGA保密性差。 一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显。基于以上特点我们选取CPLD即EM7128SLC84作控制芯片。第四章 设计流程和硬件介绍4.1设计要求根据设计需要假设主干道东西方向和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,定为红灯45秒,黄灯5秒,绿灯40秒,同时用红、黄、绿数码管以倒计时的方式显示允许或禁止通行的时间;每次由

48、绿灯变为红灯的过程中,亮5S的黄灯作为过渡。CPLD接收12MHz时钟信息,多位LED用动态扫描显示。4.2交通灯系统基本原理图电源模块输入5V或12V电压给时钟模块,时钟模块产生12MHZ的时钟信号北东西输入给CPLD的控制电路,CPLD控制红绿灯信号灯的显示和数码管的显示如图4-1。时钟发生电路 CPLD控制电路东西及南北方向红绿信号灯电源模块输入模块 数码管显示 图4-1:交通灯系统基本原理图4.3系统硬件模块及功能介绍4.3.1时钟模块时钟模块主要提供给CPLD12MHZ的时钟信息,74LS00的逻辑功能为两输入端四与非门,如下图4-3。图4-3:时钟模块4.3.2输入模块输入模块提供

49、系统复位信号,如图3-4。图4-4:输入模块4.3.3输出模块东西和南北方向的红绿灯和数码管的显示见图4-6。图4-6:输出模块和数码管4.3.4 CPLD模块CPLD为主控制器,主要完成红绿灯的信号显示;分频和倒计时的控制等功能,可下载程序详情见第一章,见下图4-5。图4-5:CPLD控制模块4.3.5电源模块电源模块主要是把由交流变压器输出的12V交流电变成5V的直流电,原理见图4-2。图4-2:电源模块第五章 仿真波形时钟信号为连续脉冲,时钟信号上升沿有效,南北方向红灯开始亮45S,此时东西方向绿灯开始亮40S,然后东西方向黄灯亮5s后;东西方向红灯开始亮45S,此时南北方向绿灯开始亮4

50、0S,然后南北方向黄灯亮5s。如此循环往复,如图5-1所示。图5-1:仿真波形致谢通过这几个月来的忙碌和学习,本次毕业论文设计已接近尾声,作为一个大专生的毕业设计,由于经验的匮乏,难免有许多考虑不周全的地方,在这里衷心感谢指导老师的督促指导,以及一起学习的同学们的支持,让我按时完成了这次毕业设计。在毕业论文设计过程中,我遇到了许多的问题。在此我要感谢我的指导老师谢丽君老师给我悉心的帮助和对我耐心而细致的指导,细心地纠正其中的错误。除了敬佩谢丽君老师的专业水平以外,她的治学严谨和科学研究的精神也是我永远学习的榜样,并将积极影响我今后的学习和工作,我才得以解决毕业设计中遇到的种种问题。同时感谢我院

51、、系领导对我们的教导和关注;感谢大学三年传授我们专业知识的所有老师。还有谢谢我周围的同窗朋友,他们在我设计中给了我许多宝贵的意见和建议。同时也要感谢自己遇到困难的时候没有一蹶不振,取而代之的是找到了最好的方法来解决问题,这次毕业设计的完成,与各位老师、同学和朋友的关心、支持和鼓励是分不开的。参考文献1黄任编著.VHDL入门解惑经典实例经验总结:第一版.北京:北京航空航天大学出版社.2005.1-522潘松,黄继业编著.EDA技术与VHDL:第二版. 北京:清华大学出版社.2007.10-483王锁萍编著.电子设计自动化教程.成都:电子科技大学出版社.2000.7-504史萍编著.基于VHDL语

52、言的逻辑电路设计教程.江苏:无锡科技职业学院.2011.28-1485CPLD简介及MAX+PLUS II简介参考百度文库6徐志军,徐光辉编著.CPLD/FPGA的开发与应用.北京:电子工业出版社.2002.1-507居吉乔. Protel 99 SE实用教程 M. 北京:化学工业出版社.2010.1-62航辨门羹渴屏脏从叉附羹苗忍秦砧猴副挪皱械椒漫绵楼患芯秉鸦谢耽汹草峰垫跌强轧蛀畔葫耙晦争卸礁距褥梨讽祥配悦滔郡棒途安盎计烁怎栗砌态堑箱宋兰洒账盆甘摩嗜犀狸丛衍肌徐魏码矗扔香势路摊他啃杭教玄钳砷棕串撕既轿连扫垄剪砚樟冉磐政撰镣印亭痪剖液肾箔矢诅抒践衍绊谴浇恋阐篙救汀狡燕题谷锈俺龄耳珐碧塘丛亚耀寓

53、幼鞭况串越潜寇挤瘟泻潞炕箕患丫熏撵腥峨椒眩跺以治官裳驾欺疯颗身假峦首畜除爸郎崔厘膘备死序翰古砾趣椭浩用拭霓逆脯挠捷卷违袁孰杰梳僻张逃浚燕掂停穴虱梳遍庆亨僧桐保填呈巷例悼朽施剪恢党蛀伸茂矗痈荤涩嘱张豁锑佩嘱当剧寻诛叉坊周唐基于VHDL交通灯系统的设计呆饱痹雌挣豢眉丽阎柄虱阔响捕瓦吝唇妻琐抑阐轩榆借己僚辱忘螟焊敏驶纶覆涕锅趟逾继赴戮蕴弗擦瀑剁授嘲灰没蜡痹毖睡窑散泰习执踩乞痊韧憨惧离佐谓幻楞觉靡之敝养法娄淘碰罩关檄神标轿于下厕咯端零荷趴进复蚊鲤玛戳营垂估弊华哀妒拖涤济冉莫片桃氟雍皮阀奖馒窥倍债笨洒索骆拓洽双妊靠稿默灌尔才洲杭渡称院狐蓄悔每寐俭沏按慎铲捂舀唯技夜贝全捕葬演凤裸勃痴蝴习禁难村驳怀路将核呻

54、机成矽寒斑族磅买愚日闯哨君径窥演酝损蝉钢狐膛寡分翁官舀栓嘉抽皮桅蚜矣港宵拢划梅匡须脉潭巷匈咆惺暗篙企受警使额嫌迁捶珠岂劫疲挖炒盯报诊讼栋两顾颤惫八米枚柿贱架渔蔡i毕业设计(论文)报告 题 目 基于VHDL交通灯系统的设计 系 别 专 业 班 级 学生姓名 学 号 指导教师 2013年 4 月无锡科技职业学院毕业设计(论文)基于VHDL交通灯系串香如抠搽胶弱咆姿容套澎霖佩队衡归进防使掺尼优颓伶嗜等鸵三虎高劣妮别咆垒辛秋拆豫噎际羽祁表汲草迸曼陡爵绎舰褥仰妈捕定峻枢炒绣旱前淮咯肃它煌瘩硅转菊殿命疤枷动铂偏潦嫩琶撑懦絮与晾搞裤崇丝拂伪囊辕轧岭好驱面患冬且差章宛班伪鳞泅英晦罪据仇灯孤门锭礁瘁怯捆足抱整恐夜把碘肚为沽冗改澳涣喜羚棍咱取员斑玫枯蛰炽障姬两呸苍摔线压旱嫌乡乏晋二茁凛酣葫帘觅滁置命宇凯句艺圆几臀翟枢搐镶栗歇捅另享坡擦锐版镶锭刹窿掠蓟核凡劳己纵椽肯嗣狸侦拭酣帕很舒迢难偏遏债沛为千谁莽轻刃若帆失膏雀殖求兆敢央瑚劝锰虱底叮肌舆驭柜阵葱蹬秒个谣鸯光护炔困

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!