林琳317棋钟象棋计时器设计

上传人:阳*** 文档编号:42936818 上传时间:2021-11-29 格式:DOC 页数:30 大小:2.81MB
收藏 版权申诉 举报 下载
林琳317棋钟象棋计时器设计_第1页
第1页 / 共30页
林琳317棋钟象棋计时器设计_第2页
第2页 / 共30页
林琳317棋钟象棋计时器设计_第3页
第3页 / 共30页
资源描述:

《林琳317棋钟象棋计时器设计》由会员分享,可在线阅读,更多相关《林琳317棋钟象棋计时器设计(30页珍藏版)》请在装配图网上搜索。

1、 摘 要本系统是一个用于棋类比赛的计时钟系统,该计时钟可分别完成甲乙对规定用时的计时和规定时间用完后的读秒计时。规定甲乙双方各有2小时比赛规定用时,分别设计各方的用时定时器,并用数码管显示各方已用的时、分从0:001:59计时间隔为1S。2小时规定时间用完后,每方限定在30秒内必须下出一步棋,此时定时器将完成对读秒时间的30S倒计时,并数码管显示此时过程3000。若计时到零,则发生警报信号该方超时负。各定时器设置计时暂停/继续键,在规定时间计时时,该键的作用为暂停本方计时,并继续对方计时;在读秒时间计时时,该键的作用为复位本方计时起始点29S,并启动对方定时器。设置系统复位键,比赛结束时,按该

2、键使各方的定时器均复位到规定时间的零点0:00,以便重新开始比赛。This system is one for chess game clock system, this clock can be completed respectively to party b when provisions of the timing and regulations with the time after DouMiao timing. Provisions to both parties have 2 hours when rules, the design of all parties respect

3、ively when the timer, and the digital tube display the parties have the, points from 0:00 it happened time interval for 1 s. 2 hours set time after using, each party within 30 seconds next step must be chess, the timer will finish on time DouMiao 30 s countdown, and digital tube display the process

4、30 00. If the timing to zero, it happened alarm signal the party timeout negative. Set the timer timing pause/continue to key, in the specified time timing, the key role to suspend his own time, and continue to each other timing; In DouMiao time timing, the key role for reset his own timing starting

5、 point and s, and start the timer. Sets the system reset button, the end of the match, according to the key that all the timer are reset to set time zero 0:00, in order to start games. 关键词:计时钟;系统;数码管;棋类Keywords:The clock, the system, digital tube, chess28 / 30文档可自由编辑打印 目 录摘要I 第1章、整体设计论述及仿真软件介绍 1 1.1

6、 整体设计论述 1 1.2仿真软件介绍1第2章、单元模块设计6 2.1计时器模块6 2.2 控制模块7 2.3 选择模块9 2.4 显示模块 10 2.5 报警模块 10第3章、实验仿真结果 11第4章、总结与设计调试体会 16第5章、附录 18第6章、参考文献 27 第1章 整体设计论述 1.1 整体设计论述 按照设计要求,分别设置甲乙各方规定时2小时计时器和30秒倒记时器,如下图。在控制模块的作用下,相互协调工作:在规定时内,30秒计时器不工作,输入继续暂停端能暂停其中一方计时器的同时继续另一方的计时。当甲或乙归定时两小时用完后,启动各自的30秒倒计时!这时的输入继续暂停开关能复位其中一方

7、的30秒到29,并开始另一方的记时。当比赛结束时,输入清零端复位信号,各计时器回到开始,重新开始下场比赛!计时器的输出同时也控制着报警模块的工作,当甲乙任一方所有时间都用完时,报警模块输出报警信号,宣布比赛结束,谁的时间先用完谁就输了这场比赛。选择模块的设置,是为了很好解决显示管数量不足的问题。在输入暂停继续开关的作用下,轮流显示甲乙双方所用时间情况给显示模块!显示模块是一个动态扫描显示电路。在一个人眼观察不出的扫描频率下,轮流在相对应的显示管上显示八个输入端口的输入的数据。甲30秒输出报警模块 控制模块甲2小时 计数器 显示模块 选择模块输入乙2小时 计数器输出乙30秒 总体设计框图1.2软

8、件介绍1.2.1 MAX+plusII操作说明MAX+plusII的编程器的功能是将电路设计文件转换后的输出文件,例如*.pof文件与*.sof文件,烧写至FLEX系列器件或下载至MAX系列器件,亦可用来检验与测试器件或转换烧写文件格式。此功能必须配合硬件实验设备才能进行。在器件编程时,首先应该安装软件狗和下载线,这时需要进行硬件设置。具体操作步骤如下:(1) 首先安装MAX+PLUSII(2) 选择(“开始”-“设置”-“控制面版”)(3) 双击“游戏选项”然后选择“添加”-“添加其它”-“从磁盘安装”命令,再单击“浏览”浏览驱动所在的目录:MAX+PLUSII的安装目录dirverswin

9、2000;(4) 选择“WIN2000.inf”,单击“确定”;(5) 在“数字签名未找到”对话框中,选择“是”;(6) 在“选择一个设备驱动程序”窗口中,选择“Altera Bytblaster”,并单击“下一步”;(7) 在接下去的“数字签名未找到”对话框中,仍选择“是”;(8) 安装完成,依提示,重新启动计算机。 在WINXP/WINNT操作环境下,若要使用下载(DOWNLOAD)功能,同样要安装驱动,安装方法可参考在WINDOWS2000上的安装方法进行,在此不再赘述。)1.2.2 工具条和常用菜单选项说明 第2章单元模块设计2.1计时器模块分为2小时的规定时计时器,30秒的倒计时器。

10、输出特点:各数权位分别输出4位的二进制数,如1:25:46输出为“y31=0001”:“y210=0010 q21 = 0101”:“y11=0100 q11=0110”。进位输出一个进位信号co为高电平1,直到有清零端复位有效时才回到0。2.1.1 30秒倒计时器功能:本质是一个减法计数器。完成甲和乙对用完归定时间的读秒计时。工作原理: 仿真波形图可以看出,该计数器从29开始,如果清零端无效,每来一个秒脉冲,在继续使能端有效下,减少1,到28。依次到00,此时输出一个进位信号co为高电平1,直到有清零端复位有效时才回到0,此时计数器复位到29,重新开始计时。2.1.2小时规定计时器功能:本质

11、为两个60进制的加法计数器和一个16进制计数器联级构成。完成2个小时的计时。原理框图:Count Co1 Co秒信号 60秒计数器 60秒计数器 60秒计数器 在复位端cir无效,计数使能端en有效时,每来一个秒的时钟脉冲,秒60计数器加一。计到60时,发出一个进位信号co去作为60分计数器的时钟脉冲,即每来一个co,分60计数器加1。当计数到60时,也发出个进位信号co1,该信号去作为时计数器的时钟脉冲,每来一个co1,即计完了60分钟,时计数器加1。当这个2小时计数器计到两个小时时,发出个进为信号(高电平有效)count,直到有复位信号有效(cir=1)时回到低电平0。计数时,如果计数使能

12、端无效,则计数暂停,恢复有效时继续计数。这样完成了两小时的计时!2.2控制模块功能:控制各计数器协调工作,完成系统的各项功能。是该系统的核心部分。 2.2.1 控制甲方2小时规定时计数器的暂停继续。 工作真值表1 其中S为输入暂停继续信号,counta为该计数器的进位输出。按照设计要求,规定时用完后,counta输出为1, 规定时计数器停止计时,即ena=0,S为零时,设置规定时计数器不工作,即ena=0,所以只有当S=1和counta=0时,规定时计数器工作,即ena=1。2.2.2 控制乙方2小时规定时计数器的暂停继续。 工作真值表2 其中S为输入暂停继续信号,countb为该计数器的进位

13、输出。按照设计要求,规定时用完后,countb输出为1, 规定时计数器停止计时,即enb=0,S为高电平1时,设置规定时计数器不工作,即enb=0,所以只有当S=0和countb=0时,规定时计数器工作,即enb=1。2.2.3 控制甲方30秒计数器的暂停继续。 工作真值表3 其中S为输入暂停继续信号,counta为规定时2小时计数器的进位输出,count3a为甲方30秒进位输出。按照设计要求,规定时用完后,counta输出为1, 规定时计数器停止计时,启动甲方30秒倒计时,即en3a=1,否则en3a=0。S为零时,设置规定时计数器不工作,即en3a=0。当30秒倒计时计时守毕,即count

14、3a输出为1时,30秒计时器也不工作,此时en3a=0。所以只有当S=1和counta=1和count3a=0时,该计时器工作,即en3a=1。2.2.4 控制乙方30秒计数器的暂停继续。 工作真值表4 其中S为输入暂停继续信号,countb为规定时2小时计数器的进位输出,count3b为乙方30秒进位输出。按照设计要求,规定时用完后,countb输出为1, 规定时计数器停止计时,启动乙方30秒倒计时,即en3b=1,否则en3a=0。S为高电平1时,设置规定时计数器不工作,即en3a=0。当30秒倒计时计时守毕,即count3b输出为1时,30秒计时器也不工作,此时en3b=0。所以只有当S

15、=0和counta=1和count3a=0时,该计时器工作,即en3b=1。2.2.5 控制甲乙双方30秒倒计数器的清零复位。 工作真值表5 其中S为输入暂停继续信号,cr为系统输入清零复位信号。按照设计要求,当cr为1时,系统发出清零信号,系统清零复位,所以此时cr3a、cr3b都为1。当S=0时,规定甲方30秒复位,即cr3a输出为1, 否则规定乙方30秒计数器复位,即cr3b=1。2.3选择器模块功能:实质就是一个选择性开关!在系统设置的暂停继续端的作用下,设当s=1时,选择甲方所用时间情况给输出,当s=0时,并用A=1010为甲方的名称,选择乙方所用时间情况给输出,并b=1011代表乙

16、方的名称。 原理框图:甲方比赛用时情况S=1给显示模块比赛用时情况S=0乙方比赛用时情况输入端口a、b、c、d、e代表着甲方用时情况,分别为30秒个位、30秒十位、2小时分个位、2小时分十位、2小时时个位。输入端口f、g、h、i、k代表着乙方用时情况,分别为30秒个位、30秒十位、2小时分个位、2小时分十位、2小时时个位。输出端口M30s1,M30,Fg,F10n,S,DK分别为30秒个位、30秒十位、小时分个位、分十位、时位、端口。2.4显示模块:功能:六个输入端口分别接选择模块的输入,在一个较高的时钟脉冲钟用下,从第一个输入端口的情况依次扫描到第六个,并在显示管上显示。所以也称位动态扫描显

17、示。2.5报警模块:功能:输出听觉和视觉上的比赛结束信号,并且判断何方失败。 报警工作真值表count3a、count3b分别代表甲乙方30秒倒计时的进位输出,a、b分别代表双方视觉上的信号输出(驱动发光二极管发光),warn位听觉上的报警信号,驱动喇叭发出声音!只有当甲或者乙的时间全部用完,则比赛结束。即count3a=1 or count3b=1成立时,warn信号位扫描频率,如果是甲先用完,a位零,甲灯亮宣告甲输掉这场比赛,反之,乙灯亮,乙输掉这场比赛! 第3章 实验仿真结果2小时:控制器:选择器:报警器:总图仿真: (1) (2) (3) (4) 第4章 总结与设计调试体会为期两周时间

18、的EDA课程设计使我收获不少,无论在对软件的熟悉程度,还是在对数字电路的基本原理,都迫使我不断的前进!两个星期的艰苦奋斗,我终于完成了设计要求!这其中的感受,无法用语言来描叙,只有经历过的人,才真正体会设计的乐趣:不是在于设计结果,而是在于设计的过程! 过程是最美的,最有趣的。而设计的过程,实际上也是一个发现问题,然后去想办法解决的过程。 两个星期的设计,我和组友发现的问题层出不穷,通过我们的不懈努力,但最终,我们还是解决了!这其中,有些问题是解决不了,或者超出我们的能力之外的,我们通过议论,转化问题,使复杂的问题简单化,达到了非同寻常的效果!比如说显示管数量不足的问题,我们的设计要求要十个显

19、示管,而实验箱上只有八个。这就使得我们寻找一钟方法去解决这一难题!最终,灵机一动,想到了选择显示的思想,从而诞生了选择显示模块! 在设计过程中,发现一个重要定律:EDA课程设计,只要你想得到,就能办得到!的确,程序是自己编的,就想说话一样,掌握了基本的语法、语句,想表达什么意思就表达什么意思!加上有编译器来检查错误,想错都难!打个比方,在制作协调计时器工作的时的控制器时,一般人会用与门和非门等等连接,于是原理图就显得乱和杂!而我采用的时集成的思想:把所有与端口使能的逻辑关系都集中在一个控制器模块里,用语言来描述清楚。一个控制器,控制着整个计数器的工作,使得电路图简单,清楚!设计的过程中还发现:

20、杂实的数电基本功,是设计游刃有余的动力!举个例子来说吧,在设计控制器时,各端口受哪些端口控制,各输出什么时候输出什么样的值,这些看似很复杂的问题,在我列出真值表后,一切都是那么的明朗!因此,我在大二的数电功低使我受益匪浅! 设计时,另一个教训值得我深深记在脑子里:理想与现实是有很大的差距的,时刻记得实事求是!比方说,如果不知道显示管只有八个的情况下,采用十个数码管显示的方法,其后果就是导致仿真不成功!在仿真过程中,就是由于实践时带来的问题层出不穷,但最终还是被一一解决了。 首先在如它能成功地解决显示管数量的不足问题,在选择显示模块作用下,甲乙双方的比赛时间能有条不紊地显示!其次在于设计的原理图

21、(顶层文件)结构非常简单,在连接各模块工作时,没有任何的门和看上去很复杂的连线,整个图显得简单,清晰,给人以简单美的享受!这其中的来由要归功于设计者特意地将各模块全部使用VHDL语言编写!再次,本系统的输出既有发光二极管带来的视觉上的报警信号,也有扬声器发出的听觉上的报警信号! 总之,两个星期的课程设计,有过酸、甜、苦、辣。这其中的滋味,相信每一个过来人都深有体会!感谢学校、谢谢老师给我这次机会! 第5章 附录相关程序参考30秒倒计时:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY

22、cnt30 ISPORT(clk: INSTD_LOGIC;cir,en : INSTD_LOGIC; co : OUT STD_LOGIC;q1: OUT STD_LOGIC_VECTOR (3 DOWNTO 0); y10: OUT STD_LOGIC_VECTOR (3 DOWNTO 0) );END cnt30;ARCHITECTURE a OF cnt30 IS SIGNALbcd1n: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNALvcd10n: STD_LOGIC_VECTOR (3 DOWNTO 0);BEGINPROCESS (clk,cir,en)

23、 BEGINif(cir=1)then co=0;elsif(clkEVENT AND clk = 1) then if( bcd1n=0 and vcd10n=0 )then co=1; END IF;END IF;END PROCESS;PROCESS (clk,cir)BEGINIF (cir=1) THENbcd1n = 1001; ELSIF (clkEVENT AND clk = 1) THENIF (en=1 ) THEN IF (bcd1n=0 ) THEN bcd1n = 1001; ELSIF (bcd1n=0 and vcd10n=0) THENbcd1n = 1001;

24、ELSEbcd1n = bcd1n-1;END IF; END IF; END IF; END PROCESS;q1 = bcd1n; y10 = vcd10n;PROCESS (clk, cir)BEGINIF (cir =1) THENvcd10n = 0010;ELSIF (clkEVENT AND clk = 1) THEN IF (en=1 ) THEN IF (bcd1n=0 AND vcd10n=0) THEN vcd10n = 0010; ELSIF ( bcd1n=0) THEN vcd10n = vcd10n-1; ELSE vcd10n = vcd10n ; END IF

25、; END IF; END IF; END PROCESS;end a;2小时计时器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY cntgds ISPORT(clk: INSTD_LOGIC;cir: INSTD_LOGIC;en: INSTD_LOGIC; count : OUT STD_LOGIC;q21,q31: OUT STD_LOGIC_VECTOR (3 DOWNTO 0); y210 : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) );END

26、cntgds;ARCHITECTURE a OF cntgds ISSIGNALbcd11n: STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNALvcd110n: STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNALbcd21n: STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNALvcd210n: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNALbcd31n: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNALvcd310n: STD_LOGIC_VECTOR (3 DOWNTO

27、 0);SIGNALco, co1: STD_LOGIC;BEGINPROCESS (co,cir)BEGINIF (cir = 1) THENbcd21n = 0000; ELSIF (coEVENT AND co = 1) THENIF (bcd21n=9) THEN bcd21n=0000; ELSE IF (en=1) THENbcd21n = bcd21n + 1;ELSEbcd21n = bcd21n;END IF; END IF;END IF;END PROCESS;PROCESS (co, cir)BEGINIF cir = 1 THENvcd210n = 0000;ELSIF

28、 (coEVENT AND co = 1) THENIF (bcd21n=9) THEN IF (vcd210n=5) THEN vcd210n=0000; ELSE IF (en=1) THENvcd210n = vcd210n + 1;ELSEvcd210n = vcd210n;END IF; END IF; END IF;END IF;END PROCESS;PROCESS (co) BEGINif(coEVENT AND co = 1) then if(bcd21n=9 and vcd210n=5 )then co1=1; else co1=0; END IF;END IF;END P

29、ROCESS;q21 = bcd21n; y210=vcd210n; q31 = bcd31n;PROCESS (clk,cir)BEGINIF (cir = 1) THENbcd11n = 0000; ELSIF (clkEVENT AND clk = 1) THENIF (bcd11n=9) THEN bcd11n=0000; ELSE IF (en=1) THENbcd11n = bcd11n + 1;ELSEbcd11n = bcd11n;END IF; END IF;END IF;END PROCESS;PROCESS (clk, cir)BEGINIF cir = 1 THENvc

30、d110n = 0000;ELSIF (clkEVENT AND clk = 1) THENIF (bcd11n=9) THEN IF (vcd110n=5) THEN vcd110n=0000; ELSE IF (en=1) THENvcd110n = vcd110n + 1;ELSEvcd110n = vcd110n;END IF; END IF; END IF;END IF;END PROCESS;PROCESS (clk) BEGINif(clkEVENT AND clk = 1) then if(bcd11n=9 and vcd110n=5 )then co=1; else co=0

31、; END IF;END IF;END PROCESS;PROCESS (co1,cir)BEGINIF (cir = 1) THENbcd31n = 0000; ELSIF (co1EVENT AND co1 = 1) THENIF (bcd31n=1) THEN bcd31n=0000; ELSE IF (en=1) THENbcd31n = bcd31n + 1;ELSEbcd31n = bcd31n;END IF; END IF;END IF;END PROCESS;PROCESS (clk) BEGIN if(clkEVENT AND clk = 1) THEN if(bcd21n=

32、9 and vcd210n=5 and bcd31n=1 and bcd11n=9 and vcd110n=5)then count=1; elsif(cir=1) then count=0; END IF; end if;END PROCESS;end a;控制器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY kongzhiqi ISPORT(counta,count3a,countb,count3b: INSTD_LOGIC;cr,s : INSTD_LOGIC; ena,en3

33、a,enb,en3b,cr3a,cr3b :OUT STD_LOGIC );END kongzhiqi;ARCHITECTURE a OF kongzhiqi ISBEGINPROCESS (s,counta) BEGINif(s=0) then ena=0; elsif( counta=0 )then ena=1; else ena=0; END IF;END PROCESS;PROCESS (s,counta,count3a) BEGINif(count3a=1) then en3a=0; elsif( counta=1 and s=1 )then en3a=1; else en3a=0;

34、 END IF;END PROCESS;PROCESS (s,countb) BEGINif(s=1) then enb=0; elsif( countb=0 )then enb=1; else enb=0; END IF;END PROCESS;PROCESS (s,countb,count3b) BEGINif(count3b=1) then en3b=0; elsif( countb=1 and s=0 )then en3b=1; else en3b=0;END IF;END PROCESS;PROCESS (s,cr) BEGINif(cr=1) then cr3a=1; elsif(

35、 s=0 )then cr3a=1; else cr3a=0; END IF;END PROCESS;PROCESS (s,cr) BEGINif(cr=1) then cr3b=1; elsif(s=1 )then cr3b=1; else cr3b BT = 00000001 ; A BT = 00000010 ; A BT = 00001000 ; A BT = 00010000 ; A BT = 00100000 ; A BT = 10000000 ; A NULL ; END CASE ; END PROCESS P1; P2:PROCESS(CLK) BEGIN IF CLKEVE

36、NT AND CLK = 1 THEN CNT8 SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG NULL ; END CASE ; END PROCESS P3; END;报警器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY warn ISPORT(count3a,count3b: INSTD_LOGIC;cp : INSTD_LOGIC; warn,a,b: OUT STD_LOGIC );END warn;ARCHITECTURE

37、 a OF warn ISBEGINPROCESS (count3a,count3b) BEGINif(count3a=1or count3b=1) then warn=CP; else warn=0; END IF;END PROCESS;PROCESS (count3a,cp) BEGINif(count3a=1) then a=0; else a=1; END IF;END PROCESS;PROCESS (count3b,cp) BEGINif(count3b=1) then b=0; else b=1; END IF;END PROCESS;end a;选择器library ieee

38、;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY xuanzeqi ISPORT( start: INSTD_LOGIC; a,b,c,d,e: in STD_LOGIC_VECTOR (3 DOWNTO 0);f,g,h,i,j: in STD_LOGIC_VECTOR (3 DOWNTO 0); M30s1,M30,Fg,F10n,S,DK: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);END xuanzeqi;ARCHITECTURE a OF xuanzeqi ISBEGINP

39、ROCESS (start,a,b,c,d,e,f,g,h,i,j) BEGIN if(start=1) then M30s1=a;M30=b;Fg=c;F10n=d;S=e;DK=1010;else M30s1=f;M30=g;Fg=h;F10n=i;S=j;DK=1011; end if; END PROCESS;end a; 第6章 参考文献1潘松,黄继业EDA 技术实用教程科学出版社 20022江国强编EDA技术与应用北京,电子工业出版社20043童诗白,华成英编模拟电子技术高等教育出版社2006 4阎石主编数字电子技术基础第四版高等教育出版社20015吴正毅测试技术与测试信号处理清华大学出版社19916宗孔德,胡广书数字信号处理清华大学出版社1988

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!