10套数字电路复习题(带完整答案)

上传人:无*** 文档编号:41457342 上传时间:2021-11-20 格式:DOC 页数:54 大小:4.37MB
收藏 版权申诉 举报 下载
10套数字电路复习题(带完整答案)_第1页
第1页 / 共54页
10套数字电路复习题(带完整答案)_第2页
第2页 / 共54页
10套数字电路复习题(带完整答案)_第3页
第3页 / 共54页
资源描述:

《10套数字电路复习题(带完整答案)》由会员分享,可在线阅读,更多相关《10套数字电路复习题(带完整答案)(54页珍藏版)》请在装配图网上搜索。

1、Made by 遇见第一套一选择题(18分)1以下式子中不正确的是( ) a1AA bAA=A c d1A12已知下列结果中正确的是( ) aYA bYB cYAB d3TTL反相器输入为低电平时其静态输入电流为( ) a3mA b5mA c1mA d7mA4下列说法不正确的是( ) a集电极开路的门称为OC门 b三态门输出端有可能出现三种状态(高阻态、高电平、低电平) cOC门输出端直接连接可以实现正逻辑的线或运算 d利用三态门电路可实现双向传输5以下错误的是( ) a数字比较器可以比较数字大小 b实现两个一位二进制数相加的电路叫全加器 c实现两个一位二进制数和来自低位的进位相加的电路叫全加

2、器 d编码器可分为普通全加器和优先编码器6下列描述不正确的是( )a触发器具有两种状态,当Q=1时触发器处于1态b时序电路必然存在状态循环c异步时序电路的响应速度要比同步时序电路的响应速度慢 d边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象7电路如下图(图中为下降沿Jk触发器),触发器当前状态Q3 Q2 Q1为“011”,请问时钟作用下,触发器下一状态为( )a“110” b“100” c“010” d“000”8、下列描述不正确的是( )a时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。b寄存器只能存储小量数据,存储器可存储大量数据。c主从JK触发器

3、主触发器具有一次翻转性d上面描述至少有一个不正确9下列描述不正确的是( )aEEPROM具有数据长期保存的功能且比EPROM使用方便b集成二十进制计数器和集成二进制计数器均可方便扩展。c将移位寄存器首尾相连可构成环形计数器d上面描述至少有一个不正确二判断题(10分)1TTL门电路在高电平输入时,其输入电流很小,74LS系列每个输入端的输入电流在40uA以下( )2三态门输出为高阻时,其输出线上电压为高电平( )3超前进位加法器比串行进位加法器速度慢( )4译码器哪个输出信号有效取决于译码器的地址输入信号( )5五进制计数器的有效状态为五个( )6 施密特触发器的特点是电路具有两个稳态且每个稳态

4、需要相应的输入条件维持。( )7 当时序逻辑电路存在无效循环时该电路不能自启动()8 RS触发器、JK触发器均具有状态翻转功能( )9 D/A的含义是模数转换( )10构成一个7进制计数器需要3个触发器( )三计算题(5分)如图所示电路在Vi0.3V和Vi5V时输出电压V0分别为多少,三极管分别工作于什么区(放大区、截止区、饱和区)。四分析题(24分)1分析如图所示电路的逻辑功能,写出Y1、Y2的逻辑函数式,列出真值表,指出电路能完成什么逻辑功能。 2分析下面的电路并回答问题(1) 写出电路激励方程、状态方程、输出方程(2) 画出电路的有效状态图(3) 当X=1时,该电路具有什么逻辑功能五应用

5、题(43分) 1用卡诺图化简以下逻辑函数 ,给定约束条件为ABCD02有一水箱,由大、小两台水泵ML和MS供水,如图所示。水箱中设置了3个水位检测元件A、B、C。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位低于C点而高于B点时MS单独工作;水位低于B点而高于A点时ML单独工作;水位低于A点时ML和MS同时工作。试用74LS138加上适当的逻辑门电路控制两台水泵的运行。74LS138的逻辑功能表输 入输 出S1A2 A1 A0 0XX X X1 1 1 1 1 1 1 1 X1X X X1 1 1 1 1 1 1 110

6、0 0 00 1 1 1 1 1 1 1100 0 11 0 1 1 1 1 1 1100 1 01 1 0 1 1 1 1 1100 1 11 1 1 0 1 1 1 1101 0 01 1 1 1 0 1 1 1101 0 11 1 1 1 1 0 1 1101 1 01 1 1 1 1 1 0 1101 1 11 1 1 1 1 1 1 074LS161功能表 CTP CTT CP D0 D1 D2 D3Q0 Q1 Q2 Q30 1 0 d0d1 d2 d31 1 1 1 1 1 0 1 1 0 1 0 0 0 0d0 d1 d2 d3正常计数保持(但C=0)保持 3 74LS161逻辑

7、符号及功能表如下(1)假定161当前状态Q3 Q2 Q1Q0为“0101”“D0 D1 D2 D3”为“全1”,=0,请画出在两个CP作用下的状态转换关系?(2)请用复位法设计一个六进制记数器(可附加必要的门电路)4分析右面的电路并回答问题(1)该电路为单稳态触发器还是无稳态触发器?(2)当R=1k、C=20uF时,请计算电路的相关参数(对单稳态触发器而言计算脉宽,对无稳态触发器而言计算周期)。第一套答案一选择题(18分) 1 c 2 c 3 c 4 c 5b 6 A 7 B 8 A 9 B 二判断题(10分)1( )2( )3( )4( )5( )6( )7( )8( )9( )10( )三

8、计算题解:(1)时,三极管截止,工作在截止区,; (2)时,三极管导通,工作在饱和区, 四、分析题12、(1)Qn+11=XQ2 Q n+12= Y=XQ1(2)(3)当X=1时,该电路为三进制计数器五:应用题1 解:(1)由图可以写出表达式: (2)真值表如下:ABCABACBCY2Y1000000000001000101010000101011001010100000001101010110110100110111111011(3)判断逻辑功能:Y2Y1表示输入1的个数。2 解:(1)输入A、B、C按题中设定,并设输出ML1时,开小水泵ML0时,关小水泵MS1时,开大水泵MS1时,关大水泵

9、;(2)根据题意列出真值表:ABCMLMS00000001010100111010010111011111(3)由真值表化简整理得到:(4)令A=A,B=B,C=C,画出电路图:(1)“0101” “1111” “1111”(2)“0110”时复位4、(1)单稳态 (2)20mS 第二套一 选择题(18分)1下列说法正确的是( )a. 2个OC结构与非门线与得到与或非门。 b. 与门不能做成集电集开路输出结构c. 或门不能做成集电集开路输出结构 d. 或非门不能做成集电集开路输出结构2下列说法正确的是( ) a. 利用三态门电路只可单向传输 b三态门输出端有可能出现三种状态(高阻态、高电平、低

10、电平) c.三态门是普通电路的基础上附加控制电路而构成。 d.利用三态门电路可实现双向传输3TTL反相器输入为低电平时其静态输入电流约为( ) a100mA b5mA c1mAd500mA4下列等式不正确的是( )a.=+ b. (A+B)(A+C) =A+BCc. A( )=A+ d. AB+C+BC=AB+C5下列等式正确的是( )a. A+AB+B=A +B b. AB+ A=A+ c. A( )=A+ d. A=6下列描述不正确的是( )aD触发器具有两个有效状态,当Q=0时触发器处于0态b移位寄存器除具有数据寄存功能外还可构成计数器c主从JK触发器的主触发器具有一次翻转性 d边沿触发

11、器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象7电路如下图(图中为下降沿Jk触发器),触发器当前状态Q3 Q2 Q1为“110”,请问时钟作用下,触发器下一状态为( )图1 a“101” b“010” c“110” d“111”8、下列描述不正确的是( )a译码器、数据选择器、EPROM均可用于实现组合逻辑函数。b寄存器、存储器均可用于存储数据。c将移位寄存器首尾相连可构成环形计数器d上面描述至少有一个不正确9下列描述不正确的是( )aEEPROM具有数据长期保存的功能且比EPROM在数据改写上更方便b右图所示为由555定时器接成的多谐振荡器cDAC的含义是数-模转换、ADC

12、的含义是模数转换d上面描述至少有一个不正确二 判断题(9分)1两个二进制数相加,并加上来自高位的进位,称为全加,所用的电路为全加器( )2在优先编码器电路中允许同时输入2个以上的编码信号( )3利用三态门可以实现数据的双向传输。()4有些OC门能直接驱动小型继电器。()5构成一个5进制计数器需要5个触发器( )6 RS触发器、JK触发器均具有状态翻转功能( )7 当时序逻辑电路存在有效循环时该电路能自启动( )8 施密特触发器电路具有两个稳态,而单稳态触发器电路只具有一个稳态( )9可用ADC将麦克风信号转换后送入计算机中处理时( )三计算题(8分)1、在图1的反相器电路中,Vcc=5V,VE

13、E=-10V,Rc=2K,R1=5.1K,R2=20K,三极管的电流放大系数=30,饱和压降VCE(sat0=0.1V,输入的高低电平分别为V1H=5V、V1L=0V,计算输入高、低电平时对应的输出电平。图32已知一个8位权电阻DAC输入的8位二进制数码用16进制表示为40H,参考电源UREF=-8V,取转换比例系数为1。求转换后的模拟信号由电压UO四分析题(24分)1 用卡诺图法将下列函数化为最简与或式1)、Y=+B+ABC2)、Y(A,B,C,D)= ,给定的约束条件为m0+ m1+m2+m4+m8=0 2分析下面的电路并回答问题(触发器为TTL系列)图4(4) 写出电路激励方程、状态方程

14、、输出方程(5) 画出电路的有效状态图(6) 该电路具有什么逻辑功能五应用题(41分)1分析图5所示电路,写出输出Z的逻辑函数式。并用卡洛图法化简为最简与或式。8选1数据选择器CC4512的功能表如下图5 A2A1A0Y000D0001D1010D2011D3100D4101D5110D6111D72 3-8译码器74LS138的真值表如下: 3-8译码器74LS138的真值表 序号输 入输 出ABC000001111111100010111111200111011111300111101111401011110111501011111011601111111101701111111110请利

15、用38译码器和若干与或非门设计一个多输出的组合逻辑电路。输出的逻辑式为:Z1=A+BC+ACZ2=B+ACZ3=B+ABC74LS161功能表 CTP CTT CP D0 D1 D2 D3Q0 Q1 Q2 Q30 1 0 d0d1 d2 d31 1 1 1 1 1 0 1 1 0 1 0 0 0 0d0 d1 d2 d3正常计数保持(但C=0)保持 3 74LS161逻辑符号及功能表如下(1)假定161当前状态Q3 Q2 Q1Q0为“1101”请问在几个CP作用下,CO信号将产生下降沿?(2)请用置数法设计一个七进制记数器(可附加必要的门电路)并画出状态图555定时器的功能表UI1 UI2 输

16、出UO TD状态 0 1 1 1 Ibs=(5-0.1)/(2*30)=0.082mA.饱和,V0=VCE(sat0=0.1V.2 2V四分析题(24分)1 用卡诺图法将下列函数化为最简与或式1)、Y=+B+ABCP4113(3) Y= +ABC=12)、Y(A,B,C,D)= ,给定的约束条件为m0+ m1+m2+m4+m8=0 P43-20(4) Y=+23(1)3个CP4输入信号UI=0电路不工作;输入信号UI=1,多谐振荡器五应用题(41分)a) 分析图2所示电路,写出输出Z的逻辑函数式。并用卡洛图法化简为最简与或式。p182-148选1数据选择器CC4512的功能表如下 图2 A2A

17、1A0Y000D0001D1010D2011D3100D4101D5110D6111D7答: A2=A,A1=B,A0=C.Z=D(+C+A+AC)+B+AB=D+B +Bb) 利用38译码器和若干与或非门设计一个多输出的组合逻辑电路。输出的逻辑式为: P158Z1=A+BC+ACZ2=B+ACZ3=B+ABC (图4) 序号输 入输 出ABC000001111111100010111111200111011111300111101111401011110111501011111011601111111101701111111110 (3-8译码器74LS138的真值表)答:Z1=A+BC+A

18、C= m3+m4+m5+m6Z2=B+AC=m2+m3+m5Z3=B+ABC =m0+m2+m4+m7 第三套一选择题(16分1已知,下列结果正确的是( ) a Y=A bY=B c dY=12已知A=(1044)10(下标表示进制),下列结果正确的是( )a A=(10101)2 bA=(0A8)16 c A=(124)8 dA=(2021)53下列说法不正确的是( ) a当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b三态门输出端有可能出现三种状态(高阻态、高电平、低电平) cOC门输出端直接连接可以实现正逻辑的线与运算 d集电极开路的门称为OC门4以下错误的是( )a数字比较器可以比

19、较数字大小b 半加器可实现两个一位二进制数相加 c编码器可分为普通全加器和优先编码器 d上面描述至少有一个不正确5下列描述不正确的是( )a触发器具有两种状态,当Q=1时触发器处于1态b时序电路必然存在状态循环c异步时序电路的响应速度要比同步时序电路的响应速度慢 d主从JK触发器具有一次变化现象6电路如下图(图中为上升沿Jk触发器),触发器当前状态Q3 Q2 Q1为“100”,请问在时钟作用下,触发器下一状态(Q3 Q2 Q1)为( )a“101” b“100” c“011” d“000” 7电路如下图,已知电路的当前状态Q3 Q2 Q1 Q0为“1100”,74LS191具有异步置数的逻辑功

20、能,请问在时钟作用下,电路的下一状态(Q3 Q2 Q1 Q0)为( ) 74LS191功能表CP D0 D1 D2 D3Q0 Q1 Q2 Q30 d0d1 d2 d31 0 0 1 0 1 1 1 d0 d1 d2 d3加法计数减法计数保持a“1100” b“1011” c“1101” d“0000” 8下列描述不正确的是( )aEEPROM具有数据长期保存的功能且比EPROM在数据改写上更方便bDAC的含义是数-模转换、ADC的含义是模数转换c积分型单稳触发器电路只有一个状态d上面描述至少有一个不正确二判断题(9分)1TTL输出端为低电平时带拉电流的能力为5mA( )2TTL、CMOS门中未

21、使用的输入端均可悬空( )3当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。()4将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。()5设计一个3进制计数器可用2个触发器实现( )6移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n的计数器。所以又称为移存型计数器( )7 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( )8 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( )9 DRAM需要定期刷新,因此,在微型计算机中不如SRAM应用广泛( )三计算题

22、(8分)1、在如图所示电路中,Ucc=5V,UBB=9V,R1=5.1k, R2=15k,Rc=1k,=40,请计算UI分别为5V,0.3V时输出UO的大小?。2已知一个8位权电阻DAC系统的参考电源UREF= -16V,转换比例系数为1。当输入最大时输出近似为16V,请求当8位二进制输入数码用16进制表示为30H时的模拟信号输出电压UO四分析题(24分)1分析下面的电路并回答问题(1)写出Y1、Y3、Y的输出表达式(2)列出输出Y的真值表(3)说明电路的逻辑功能2分析下面的电路并回答问题(触发器为TTL系列)(分析时请考虑异步复位信号的作用)(7) 写出电路激励方程、状态方程、输出方程(8)

23、 画出电路的有效状态图该电路具有什么逻辑功能并说明能否自启动五应用题(43分) 1请用74LS138设计一个三变量的多数表决电路。具体要求如下: (1)输入变量A、B、C为高电平时表示赞同提案 (2)当有多数赞同票时提案通过,输出高电平74LS138的逻辑功能及引脚图如下: 74LS138译码器真值表A2A1A0输 出0全11全1100 0 0,其余为110,其余为1 2请用卡诺图化简下面的逻辑函数 给定约束条件为:AB+CD=0 3 74LS161逻辑符号及功能表如下74LS161功能表 CTP CTT CP D0 D1 D2 D3Q0 Q1 Q2 Q30 1 0 d0d1 d2 d31 1

24、 1 1 1 1 0 1 1 0 1 0 0 0 0d0 d1 d2 d3正常计数保持(但C=0)保持(1)若161当前状态Q3 Q2 Q1Q0为0111,D0 D1 D2 D3为“全1”,=0并保持,请画出在两个CP作用下的状态转换关系?(2)请用清零法设计一个八进制记数器(可附加必要的门电路)4请用555定时器实现一个单稳态触发电路(暂态时间为1S),555定时器功能表及引脚图如下:555定时器的功能表第三套答案一 (本大题8小题每小题2分共16分)1D 2D 3A 4C 5A 6C 7D 8C二(本大题9小题每小题1分共9分)1 2 3 4 5 6 7 8 9 三(本大题2小题每小题4分

25、共8分)1 结果正确1分,步骤正确3分,参考结果如下:UI=5V,UO0.3VUI=0.3V,UO5V2 结果正确1分,步骤正确3分,参考结果如下:UO=3V真值表ABCY00000011010101111001101111011110四(本大题2小题每小题12分共24分)1(1)(4分) (2)真值表见右表,利用摩根定理变换过程如下(5分):仿真波形如下(3)结论(3分)由真值表及仿真波形可看出,当电路输入端A、B、C不完全相同时,电路输出Y为“1”;否则,输出Y为“0”。该电路又称为三变量不一致电路。2(1) J1=1 K1=1; ; ; 复位: (5分)(2)(4分)真值表ABCY000

26、00010010001111000101111011111(3)可以自启动的六进制加法计数器(3分)五应用题(43分)1(1)逻辑抽象,求出真值表,有: (2)变换令74LS1383线-8线译码器的地址端分别为A2=A、A1=B、A0=C,则 (3)画出电路如下23(1)011111111111 (2)电路如右4 电路如右,参考参数如下: R=230k C=4uF第四套一. 选择题.(每题2分,共20分. 每小题只有一个答案)1) 8421BCD码100100110100对应的十进制数是:(*知识点:BCD码)(A)2356 (B)934 (C)4712 (D)23552) n个变量可组成多少

27、个最小项?(*知识点:最小项)(A)n (B)2n (C)2n (D)2n-13) 已知函数F的卡诺图如图1-1, 试求其最简与或表达式(*知识点:卡诺图化简) 4) 如果在时钟脉冲CP=1期间, 由于干扰的原因使触发器的数据输入信号经常有变化,此时不能选用什么结构的触发器?(* 知识点:主从结构触发器的动作特点)(A)TTL 主从 (B)边沿 (C)维持阻塞 (D)同步RS5) 已知函数,该函数的反函数是(*知识点:求反函数) 6) 为构成10244的RAM, 需要多少片2561的RAM?(* 知识点:RAM的扩展)(A)16 (B)4 (C)8 (D)127) 欲得到一个频率高度稳定的矩形

28、波, 应采用什么电路(*知识点:石英晶体多谐振荡器)(A) 计数器 (B)单稳态触发器(C)施密特触发器 (D)石英晶体多谐振荡器8) 若将一个频率为10KHZ的矩形波变换成一个1KHZ的矩形波, 应采用什么电路?(* 知识点:计数器的分频功能)(A)T触发器 (B)十进制计数器(C)环形计数器 (D)施密特触发器9) 一个八位D/A转换器的最小输出电压增量为0.02V, 当输入代码为01001100时, 输出电压VO为多少伏?(* 知识点:D/A转换器)(A)0.76V (B)3.04V (C)1.40V (D)1.52V 10) 对于TTL门电路来说,下列各图哪个是正确的?( * 知识点:

29、TTL门电路)二. 分析题.1. 逻辑电路及输入端CP、D的波形如图2-1, 设Q0=Q1=Q2=0(10分)(* 知识点:移位寄存器)(1) 试画出在CP、D作用下,输出端Q0、Q1、Q2的波形;(2) 说明电路的逻辑功能.2. 由555定时器构成的单稳态电路如图2-2, 试回答下列问题(15分)(* 知识点: 555定时器)(1) 该电路的暂稳态持续时间two=?(2) 根据two的值确定图2-2中, 哪个适合作为电路的输入触发信号, 并画出与其相应的VC和VO波形. 555定时器功能表4脚6脚2脚3脚7脚00导通12/3VCC1/3VCC0导通11/3VCC不变不变12/3VCC2/3V

30、CC1/3VCC1截止三.设计题:1. 已知函数, 试用以下几种组件实现电路(15分)(* 知识点: 用MSI进行组合逻辑电路的设计)(1) 八选一数据选择器(2) 四线-十六线译码器和多输入端与非门.2。试用JK触发器设计一个同步时序逻辑电路, 其状态转换表如表3-1. 要求画出卡诺图,求状态方程、驱动方程、画出逻辑电路图.(15分) (* 知识点: 同步时序电路的设计) 表3-1Q2n Q1n X 0 1 Q2n+1 Q1n+10 00 11 01 1 0 1 0 0 0 1 0 0 1 1 1 0 0 0 0 1第四套答案一. 选择题.(每题2分,共20分. 每小题只有一个答案)题 号

31、1 2 3 4 5 6 78 910答 案BCCABADBDB二1.(1) (2)右移移位寄存器2.(1)two=1.1RC=36.3s(2)Vi2适合作为单稳态电路的输入触发脉冲三. 设计题1.(1) 令A2=A A1=B A0=C, 则D7=D6=D5=D3=1, D4=D2=D1=D0=0 (2)2.卡诺图: 状态方程:驱动方程:逻辑电路图:第五套一、 填空题(每空1分,共20分) 1、与非门的逻辑功能为 。 2、数字信号的特点是在 上和 上都是断续变化的,其高电平和低电平常用 和 来表示。 3、三态门的“三态”指 , 和 。4、逻辑代数的三个重要规则是 、 、 。 5、为了实现高的频率

32、稳定度,常采用 振荡器;单稳态触发器受到外触发时进入 态 6、同步RS触发器中R、S为 电平有效,基本R、S触发器中R、S为 电平有效 7、在进行A/D转换时,常按下面四个步骤进行, 、 、 、 。二、选择题(每题1分,共10分) 1、有八个触发器的二进制计数器,它们最多有( )种计数状态。A、8; B、16; C、256; D、642、下列触发器中上升沿触发的是( )。 A、主从RS触发器;B、JK触发器;C、T触发器;D、D触发器3、下式中与非门表达式为( ),或门表达式为( )。 A、Y=A+B;B、Y=AB;C、Y=;D、Y=4、十二进制加法计数器需要( )个触发器构成。A、8; B、

33、16; C、4; D、35、逻辑电路如右图,函数式为( )。 A、F=+; B、F=+C; C、F=; D、F=A+6、逻辑函数F=AB+BC的最小项表达式为( ) A、F=m2+m3+m6 B、F=m2+m3+m7 C、F=m3+m6+m7 D、F=m3+m4+m77、74LS138译码器有( ),74LS148编码器有( ) A、三个输入端,三个输出端; B、八个输入端,八个输出端; C、三个输入端,八个输出端; D、八个输入端,三个输出端。8、单稳态触发器的输出状态有( ) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态三、判断(每题1分,共10分):1、逻辑变量的

34、取值,比0大。 ( )2、对于MOS门电路多余端可以悬空。 ( )3、计数器的模是指对输入的计数脉冲的个数。 ( )4、JK触发器 的输入端 J 悬空,则相当于 J = 0。 ( )5、时序电路的输出状态仅与此刻输入变量有关。 ( )6、RS触发器的输出状态Q N+1与原输出状态Q N无关。 ( )7、JK触发器的 J=K=1 变成 T 触发器。 ( )8、各种功能触发器之间可以相互转换。 ( )9、优先编码只对优先级别高的信息进行编码。 ( )10、组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。( )四、数制转化(每题2分,共10分):1、(11110.11)2=( )102、

35、(100011.011 )2=( )8 = ( )163、(374.51)10=( ) 8421BCD五、逻辑函数化简(每题5分,共10分): 1、用公式法化简逻辑函数 F= A(B+) + (+C)+ BCDE+ (D+E)F 2、用卡诺图法化简逻辑函数 F= m(1,3,8,9,10,11,14,15) 六、分析电路:(每题10分,共20分)1.八路数据选择器构成的电路如图所示, A 2 、 A 1 、 A 0 为数据输入端,根据图中对 D 0 D 7 的设置,写出该电路所实现函数 Y 的表达式。 2.如图所示为利用74LS161的同步置数功能构成的计数器分析(1)当D3D2D1D0=00

36、00时为几进制计数器?(2)当D3D2D1D0=0001时为几进制计数器?七、设计电路(共10分)为提高报警信号的可靠性,在有关部位安置了 3 个同类型的危险报警器,只有当 3 个危险报警器中至少有两个指示危险时,才实现关机操作。试画出具有该功能的逻辑电路。第五套答案一、填空题1. 全1出0,有0出12. 时间、幅值、1、03.高电平, 低电平, 高阻状态4. 代入规则 对偶规则 反演规则5. 石英晶体 暂稳态6.高 低7. 采样 保持 量化 编码二、选择题 1. C 2.D 3. D A 4. C 5. A 6.C 7. A 8. A 三、判断题 1. 2 . 3. 4. 5. 6. 7.

37、8. 9. 10. 四、数制转化:1、(11110.11)2=( 30.75 )102、(100011.011 )2=( 143.3 )8 = ( 63.6 )163、(374.51)10=( 1101110100.01010001) 8421BCD五、逻辑函数化简1、F= BC+A+ 第六套一、填空(每空1分,计20分) 1、触发器有 个稳态,存储8位二进制信息要 个触发器。 2、在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的 ,触发方式为 式或 式的触发器不会出现这种现象。 3、常见的脉冲产生电路有 ,常见的脉冲整形电路有 、 。 4、数字电路按照是否有记忆功能通常可分为

38、两类: 、 。 5、TTL与非门电压传输特性曲线分为 区、 区、 区、 区。 6、寄存器按照功能不同可分为两类: 寄存器和 寄存器。 7、逻辑代数的三个重要规则是 、 、 。 8、逻辑函数F= 二、判断题:(每题1分,共10分)1、逻辑变量的取值,比大。 ( )/2、一个存储单元可存1位2进制数。 ( )3、若两个函数具有不同的真值表,则两个逻辑函数必然不相等。 ( )4、对MOS门电路多余端不可以悬空。 ( )5、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。( )6、JK触发器的输入端J悬空,相当于J=1。 ( )7、时序电路的输出状态仅与此刻输入变量有关。 ( )/8、三态

39、门的三种状态分别为:高电平、低电平、不高不低的电压。( )/9、与非门的逻辑功能是:有0出1,全1出0。 ( )10、施密特触发器能作为幅值鉴别器。 ( )三、选择题(每题1分,共10分)1、对于MOS门电路,多余端不允许 A、悬空 B、与有用端并联 C、接电源 D、接低电平2、一个8选1多路选择器,输入地址有 ,16选1多路选择器输入地址有 。 A、2位 B、3位 C、4位 D、8位3. 同步计数器和异步计数器比较,同步计数器的显著优点是 。A A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制。4. 把一个五进制计数器与一个四进制计数器串联可得到 进制计数器。 A.4

40、B.5 C.9 D.205. 下列逻辑电路中为时序逻辑电路的是 。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器C6、下列逻辑函数表达式中与F=A+B功能相同的是 A、 B、 C、 D、7、施密特触发器常用于 A、脉冲整形与变换 B、定时、延时 C、计数 D、寄存8、单稳态触发器的输出状态有 A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态9.一位8421BCD码计数器至少需要 个触发器。BA.3 B.4 C.5 D.10四、逻辑函数化简(10分)1、用公式法化简下列函数F=A(B+)+(+C)+BCDE+(D+E)F2、用卡诺图法化简下列函数F= m (0,

41、1,2,3,4,6,7,8,9, 10,11, 14)五、画波形图(每题5分,共10分) 1、如图( a )所示逻辑电路,已知 CP 为连续脉冲,如图( b )所示,试画出 Q 1 , Q 2 的波形。 2、已知各逻辑门输入 A 、 B 和输出 F 的波形如下图所示写出 F 的逻辑表达式并画出逻辑电路。 六、综合设计题(每题10分,共20分)1 、设计一个故障显示电路,要求: (1)两台电机同时工作时F1 灯亮 (2)两台电机都有故障时F2 灯亮(3)其中一台电机有故障时F3 灯亮。2、试分析下图为几进制计数器 七、数制转换(10分) (1)、(11110.110)2=( )10=( )8 (

42、2)、( 10010011 )8421BCD=( )10 (3)、(45.378)10=( )2八、图( a )是 555 定时器构成的单稳态电路。 已知: R = 3.9k, C = 1 , v i 和 v c 的波形见图( b )。 1. 对应画出 v o 的波形。 2.估算脉宽 T w 的数值。 第六套答案一、填空题 1. 2 82. 空翻 主从式 边沿式3. 多谐振荡器 单稳态触发器 施密特触发器4. 组合逻辑电路 时序逻辑电路5. 饱和区 转折区 线性区 截止区6、移位 数码7、代入规则 对偶规则 反演规则 8、0二、判断题:1. 2. 3. 4. 5. 6 7. 8. 9. 10.

43、三、选择题1.C 2.D 3. A 4.A 5. C 6.B 7.C 8.D 9.B 10.C四、逻辑函数化简 1.F=BC+ A+2.F= B+C+C五、画波形图 1、.2.(a)F=AB (b) F=A+B六、综合设计题1.真值表:ABF1F2F300010010011000111100逻辑表达式:F1= AB F2=F3= B+A =AB2. 输出方程:Y=驱动方程:J0=1 =状态方程:画状态转换表可得状态转换图如图所示。现态次态输出 Y0 00 11 01 10 11 01 1 0 00001电路为同步四进制计数器七、数制转换(10分) (1)、(11110.110)2=( 30.75 )10=( 36.6)8 (2)、( 10010011 )8421BCD=(93 )10 (3)、(45.378)10=( 101101.011000001)2八、1. 波形见下图 2. T w=1.1RC=4.29ms第七套一、 填空题 (每小题1分,共计20分)1. 常用的BCD码有 、 、 、 等。常用的可靠性代码有

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!