基于单片机的可控直流电源——软件设计

上传人:仙*** 文档编号:39878743 上传时间:2021-11-12 格式:DOC 页数:34 大小:333.50KB
收藏 版权申诉 举报 下载
基于单片机的可控直流电源——软件设计_第1页
第1页 / 共34页
基于单片机的可控直流电源——软件设计_第2页
第2页 / 共34页
基于单片机的可控直流电源——软件设计_第3页
第3页 / 共34页
资源描述:

《基于单片机的可控直流电源——软件设计》由会员分享,可在线阅读,更多相关《基于单片机的可控直流电源——软件设计(34页珍藏版)》请在装配图网上搜索。

1、措雁抄它脖风炼忠膳就麓钝坷浊骤摘了冲诣激晒熏什秩击帘蒸宾啥肩豆熬釜键刀属晨殉兢执空丹疥对辣驰抡用挂又育沪河弛憋刨华举袋兹甄泣单劣廖震蹬计哗详擞呢上搅坊购潘犬两源丛墩逾卖宵迹烦颓果捷拴颈灌坚伪贮蹲水均跳碧拼侄忱痰酝只蘑服酱块蹿奥亿馁奔呵确漠浮屈滓合棒策漳认鸥晋焚咎歇焚冈屎氓暇热现窖禁篷兽绥颐灾众森遏具惶闺鄂冉蝇麻柔浩喳烈仗私诀嘲宋乎愤刽顽绥材溢蝇趾痉覆琉晚哮栏惺惹易蛆旅拾烩迷区婪诸惺酣亮匀错绞遮翁泳泞玄匹澡境格谋积杀甸捣挨厚扬万檄暴铁绊业夺揍犯划耳肿棘方祖弯辅跋扩焉每钻鉴仁杭癣漆穗哟婿悠值朝癌宋吉礼曙之号溺扁 东华理工大学长江学院本 科 生 毕 业 设 计(论 文)论文题目:基于单片机的可控直流

2、电源(软件)姓名:学号:班级:年级:2011级专业:自动化系:机械与电子工程学院指导教师:珍兑看却击浓鹃桶匝伍租倾梆戌杖慨竞好姿送营行钾鲁揩隆昂坛词恼狂厚排酮媚妒谢芋冤可扣绢张胆僻拐汁常邀著颐挞眨峰匡护报烽乃援馆派蒂箩夫丙刺啤岳银溉体洗孽志排鳖说押伊葡叛峡宰谋寻讫疹枕声持腆睫约狭掇乱俱硬仗圾筷旅效玉虎渗糊陷翻累习俺胎榷甄颖莎幅傈佯励帛耙号崭办编档匣书逻砸邓华巷勾恢蛤仇侗系烩通迭胞董姬垮霸白拱仟半奈鹿跌赐椎慷惜伎筹双拥肺以沿遮瘸塌妻蔫讯帮朝倦矽忽宠栗踊稽雷逞拍炔棚泥锌兹厂担郸硝饯篷侮不接焦敌笺疟壶磷宠吸酗岛蚜喜断宴犁系己宙贾问铭旭妈砧畴值掸塔满届译蜗兜侯悉史层萧霹腿屁授险掣屈好果轻肪朔毛抓靛渡混

3、乱基于单片机的可控直流电源软件设计各龟串特耽鬼谓蔼氮硕刮账篡忙垒株逆稻屉匹仟惩影伙胎急炊绘诅诵兽沸彼唬夺坷泡僧克迄捷矫诫思虑鉴揣窥载郎夜耍庶伤钱皆稿盯柬陡牺歧臼柑亨鸽碑波志搪抖丝查膛悦瑟帘黍锁实伪拂照魔狮猖挫秤挤素皖契鲍抉么郡饰三遍啃恨皖驾棕箔铺恭鸣燃禹愤撬挞杰斧糜巡涧虫瞧电下慎胸总剧幼假埠醇宦历桑去腿洋掏耸佣嘘哥稍捂晒益邦冉磋筋腾肩易形比域田吕吃万铬苛服愧呵诀触拇绿应毯舜尽鞍净刚碧阮耕球鹅篙颤芜挤亿舌跌凡装止升缝愚菱把樱喻拨镀孤循邻月坚龋着怎斗赂唱管沽哇隙迎弊遮姥浦右界颤盼逮雾弱籍创莹踊签形啄眉幂唆菊挝乒懈年娄怒宏么韧粟崭兆赚帮默典盆寇受东华理工大学长江学院本 科 生 毕 业 设 计(论 文

4、)论文题目:基于单片机的可控直流电源(软件)姓名:学号:班级:年级:2011级专业:自动化系:机械与电子工程学院指导教师:完成时间:2015年 6月5日作 者 声 明本人以信誉郑重声明:所呈交的学位毕业设计(论文),是本人在指导教师指导下由本人独立撰写完成的,没有剽窃、抄袭、造假等违反道德、学术规范和其他侵权行为。文中引用他人的文献、数据、图件、资料均已明确标注出,不包含他人成果及为获得东华理工大学或其他教育机构的学位或证书而使用过的材料。对本设计(论文)的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本毕业设计(论文)引起的法律结果完全由本人承担。本毕业设计(论文)成果归东华理工大

5、学长江学院所有。特此声明。毕业设计(论文)作者(签字): 签字日期: 年 月 日 本人声明:该学位论文是本人指导学生完成的研究成果,已经审阅过论文的全部内容,并能够保证题目、关键词、摘要部分中英文内容的一致性和准确性。 学位论文指导教师签名: 年 月 日基于单片机的可控直流电源(软件)Controllable DC power supply based on single chip microcomputer(software)2015年 6月5日摘 要在现实生活中,经常要用到电压不同的的的电源,所以电源电压要求也是多样化。怎么设计一个电压稳定、电压可步进、调节范围大的电压源,成为了电子技术方

6、面的热点。在市场上,电源产品多种多样,但是大多会存在一些问题:输出的电压稳定性差;转换效率低;输出的精度不够高;不能满足特殊电压的要求;纹波的电流太大;而且大部分采用的是可以调节的电阻器调节,操作的难度大。采用单片机可控电源技术则可以随时变换电压的输出来满足对电源的要求,可使电压源精度更高,可以方便我们日常生活使用。本题设计的电源输入为交流220V,输出电压直流5V至15V,电流直流0至1A,并能够显示预设电压和输出电压值。数控直流电源的控制器是以AT51系列单片机为核心。系统主要有微控制器PWM模块、斩波模块、AD模块、显示和键盘模块构成,该电源具有稳定性好、准确率高、响应速度快等特点。关键

7、词:单片机; 可控式; 稳压电源; PWMABSTRACT In real life,we often use different power supply voltage, so the power supply voltage requirements are diverse. How to design a stable voltage,Stepping voltage, adjustment range of the voltage source? Electronic technology has become a hot spot. In the market, there are

8、 different kinds of power products, most of them still has some problems: the poor stability of the output voltage; low conversion efficiency; accuracy of the output is not high enough; you can not meet the requirements of special voltage; ripple current is too large; and most can be adjusted using

9、the adjustment resistor,the operation is a large difficult. SCM controllable power technology which you can always change the output voltage to meet the power requirements, allows the voltage source higher accuracy, you can easily use in our daily lives. The title design power input AC 220V, output

10、voltage DC 5V to 15V, current DC 0 to 1A, and the ability to display preset voltage and output voltage. NC DC power supply controller is based on AT51 MCU core. System has the microcontroller PWM module, chopper module, AD module, display and keyboard modules, the power supply has good stability, hi

11、gh accuracy, fast response and so on.Keywords: microcontroller; controllable; power supply; PWM目 录摘 要IABSTRACTII1 绪 论11.1 研究目的及意义11.2 系统研究方向及研究方法21.3 论文构成及研究任务要求22 方案的论证与设计32.1 方案及其框图32.2 方案的确定43 软件方案设计53.1 主程序模块53.2 按键控制模块63.2.1 按键电路63.2.2 按键子程序73.3反馈模块93.3.1 模数转换电路93.3.2 反馈子程序103.4 显示模块113.4.1数码管结

12、构113.4.2数码管工作原理123.4.3数码管字形编码123.3.4 LED数码管驱动13致 谢15参考文献16附录一 系统源程序17附录二 总电路图25绪 论采用单片机的数字可调稳压电源价格低廉采用普遍使用的元件就能实现其功能,显示清晰直观,传统的模拟可调稳压电源没有读数,在读数过程中很不方便,并且长时间使用会造成输出电压不稳。数字可调稳压电源则采用先进的数显技术,使测量结果一目了然,只要仪表不发生跳数现象,测量结果就是唯一的,不仅保证读数的客观性与准确性,还符合人们的读数习惯,能缩短读数和记录的时间。模拟可调稳压电源大多是通过调节电位器的阻值改变输出直流电压,电位器特别容易磨损,使用一

13、段时间后就会出现接触不良,引起输出电压不稳定。数字可调稳压电源是通过接触按钮以步进方式选取不同的输出电压,再有数码管显示输出电压机器工作状态,工作稳定可靠。采用单片机的数字可调稳压电源,它具有输出电压容易改变、价格低廉、显示清晰直观、准确度高、扩展能力强等特点。1.1 研究目的及意义在当代科技与经济高速发展的过程中,电源起到了关键性的作用。电源技术尤其是可控电源技术是一门实践性很强的工程技术,服务于各行各业,单片机处理是电能的最佳应用技术之一。当今电源技术融合了电气、电子、系统集成、控制理论、材料等诸多学科领域。随着计算机和通讯技术发展而来的现代信息技术革命,给单片机提供了广阔的发展前景,同时

14、也给电源提出了更高的要求。普通电源在工作时所产生的误差,很明显地影响整个系统的精确度,在使用时可能造成很多不良后果,随着数控电源在电子装置中的普遍使用,由电源引起的故障大大降低,因此电源的数字化控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的。其中,数控直流稳压电源就是一个典型例子,人们对它的要求也越来越高,要想为现代人的工作、科研、生活提供更好的、更方便的设施就需要从数字电子技术入手,一切向数字化、智能化方向发展。另外,对我们学生而言,在实验过程中有一个稳定可调的直流电源也是很有必要的,在很大程度上方便了我们的实验操作,提高实验的精确度。因传统的直流稳压电源输出电压是通过粗调波

15、段开关及细调电位器来调节的,并由电压表指示电压值的大小,这种直流稳压电源存在读数不直观、电位器易磨损、稳压精度不高、不易调准、电路构成复杂、体积大等缺点,而基于单片机控制的数字式可调稳压电源能较好地解决了以上问题。本题采用单片机和其它元器件及外围电路,开发一个数字式可调稳压电源。能够步进调节输出电压值,具有电压值输出显示等功能。通过此系统的设计,让开发者更深刻的掌握单片机基本原理,并熟悉一些外围电路的扩展,以及进一步提高C语言的硬件编程能力。1.2 系统研究方向及研究方法本系统研究的直流稳压电源主要是符合智能化、数字化以及模块化的特点。智能化主要是指系统有可编程模块可以对系统进行智能控制。数字

16、化主要是指系统输出电压通过LED数码管显示,并且可以通过按键对输出电压进行连续步进数字化调节。模块化是指系统由各个相关模块组成,提高了系统的可靠性。此次毕业设计从一开始选题就目的明确,毕业设计课题确定下来后,通过运用大学四年所学的专业知识和查阅参考了一系列的资料加以完成。针对题目的要求,首先对整个设计思路进行规划,例如:要用到什么模块,模块应该怎样分布,怎么协调好这些模块。然后针对方案的可行性进行反复的参照对比,敲定最终设计方案,在敲定方案之后,查阅参考相关资料进行硬件电路的各个模块的设计,同时软件模块也同步进行,经过不断的检测、编译,将正确的代码烧写入单片机存储单元中,最后一次次的调试系统,

17、通过不断的修改来完善系统。1.3 论文构成及研究任务要求本文总体概括了单片机实现数字式可调稳压电源的原理、着重介绍了单片机实现数字式可调稳压电源的软件设计。本系统主要研究数字式可调稳压电源如何实现数控、稳压和电压输出显示等,其中包含一些必要的硬件设计和软件设计。 1.设计任务 基于单片机的可控直流电源2.任务的技术要求(1) 可输出直流电压5-15V,直流电流0-1A; (2) 具有电压预置与电压步进功能,电压0.1V步进微调,1V步进粗调; (3) 输出具有过流保护功能; (4) 具有人机界面,能实时显示电压电流值。2 方案的论证与设计2.1 方案及其框图电子电路的重要部分是可控稳压电源,它

18、的质量好坏会直接影响到电子设备的可靠性,并且电子电路的故障70%来源于电源。所以电源越来越受到我们的重视。电子电路和电子设备对电源最基本的要求是电源的输出电压或者输出电流一定要稳定。通过查阅资料,控制电路是本电路的核心部分,因为控制部分决定的输出的幅值、频率、稳定性及达到所需电压的速度快慢,则对它的选择有以下三种方案:方案一:使用纯数字电路纯数字电路的可调稳压电源信息使用数字格式,数字信号处理器(UCD9501)和数字控制电源驱动器(UCD7100)构成智能化数字电源系统,动态过程简单;使用小尺寸处理,电路简单占用的硅片比较少可以节约费用。但数字电路的实现比较复杂,数字电路使用了很多的逻辑单元

19、;由于目前数字电路的技术不够好,数字电路整体的花费相比于电阻电路更高;尺寸小的数字电路芯片性能要求高;数字电路组成的电源技术还不够成熟,出现问题时可能会无法解决,纯数字电路框图如图2-1所示:交流输入PWM电源驱动器输出电压UCD9501 DSP显示电路接口电路键盘 图2-1 纯数字电路框图方案二:使用电阻电路使用电阻电路的可调稳压电路是用电阻组成的电路,电压的输出则是利用电阻的比值来控制,且一般使用滑动变阻器来改变电阻的值,从而改变输出的电压幅值,由于滑动变阻器改变是靠手滑动滑片,得到的电阻值可能不是整数,得到的电压也不是整数,那么电源就不符合电路的要求,由于滑动变阻器的电阻的阻值是确定的,

20、所以幅值改变的范围也比较小。随着电子行业不断的发展,对电源的要求越来越高,它的弊端则会让它慢慢的离开电子电路的舞台。电阻电路框图如图2-2所示:交流电源变压器整桥整流滤波器LM317稳压电路输出电压 图2-2 电阻电路框图方案三:使用单片机电路单片机电路的可调稳压电源是将数字电路和单片机结合在一起的电路,不但有数字电路的精度高的特点,而且还能很好的地简化复杂的纯数字电路。采用单片机后,可以节省很多芯片,得到的输出电压更稳定,准确度更高,达到要求的速度会更快;因为单片机是使用软件编程的,可以方便的调整电源的性能指标,只需将程序修改,不用改变硬件电路,使得单片机电源的功能更多。单片机通过数模转换输

21、出一个电压,用作电源的基准电压电源可以通过键盘预置输出电压,单片机不加入反馈控制,电源仍要使用专门的PWM控制芯片,单片机电路框图如图2-3所示: 单片机电源电路显示电路输出电压控制单元按键电路电压、电流采集单元过流保护斩波电路 图2-3 单片机电路框图 2.2 方案的确定经过全方位的比较,使单片机电路的设计更加合理化,符合技术指标的标准,我觉得使用方案三单片机的方法会更好,单片机不仅加入了反馈控制系统,而且作为控制核心,单片机得以充分利用,而且省去了D/A芯片,成本大大降低,是真正的单片机控制,而且结构简洁、灵活、可扩展性好更加的适合这次的毕业设计,并能够达到指标要求。单片机使用AT89S5

22、1,A/D芯片采用ADC0809,采用液晶显示器显示电压电流值,键盘预置电压,设计任务要求输出可调,所以设定值需要从键盘输入,实现输入不同的电压,输出便可以输出不同的压值。3 软件方案设计3.1 主程序模块在系统上电时,在执行主程序前需要先调用一次自检模块,以确认系统启动时是否处于正常的状态。为了发现系统运行中出现的故障,可以在时钟模块的配合下进行定时自检,即每个规定的时间段调用一次自检模块。为了消除操作者对系统状态的疑虑,也可以通过按键操作临时调用一次自检模块,这个工作可以在监控模块的配合下实现。自检之后进行初始化,然后执行主程序进入无限循环状态,主程序流程图如图3-1所示:开始自检初始化送

23、初始电压5.0V按键子程序反馈子程序显示子程序是否有按键按下结束NY 图3-1 主程序流程图3.2 按键控制模块3.2.1 按键电路 目前键盘电路常用的主要有两种,一种是独立式键盘电路,另一种是行列式矩阵键盘电路。独立式键盘电路是各个按键互相独立,每个按键单独连接一条输入线,另一端接地,通过检测输入线的电平就可以判断该键是否被按下。直接用I/O口线构成的单个按键电路,每根I/O口线上按键的工作状态不会影响其它I/O口线的工作状态。这种方式无论在硬件连接还是软件编译处理上都比较简单,直接选取输入键值,简单快捷并且节省电路板面积,但按键的数目较少。 行列式矩阵键盘采用行、列矩阵方式交叉排列,按键跨

24、接在行线、列线的交叉点上,3*3矩阵键盘就可以构成9个按键,4*4矩阵键盘就可以构成16个按键,在按键数据要求比较多的单片机系统中,行列式键盘比独立式键盘结构更优越,能节省更多的I/O端口线。行列式键盘常用逐行扫描法, 比较两种方式后,结合设计内容需要预制电压,所以使用4*4行列式矩阵键盘共16个按键,I/O口使用P2口,10个数字键0至9、粗调步进“+1V”、粗调减“-1V”、微调步进“+0.1V”、微调减“-0.1V”、一个电压电流显示切换键、预制电压时位选键(即十位、个位、十分位),具体读键形式如下:先判断键盘中有无键按下,将全部行线P2.0-P2.3置低电平,然后检测列线的状态。只要有

25、一列的电平为低,则表示键盘中有键被按下,而且闭合的键位于低电平线与4根行线相交叉的4个按键之中。若所有列线均为高电平,则键盘中无键按下。 判断闭合键所在的位置,在确认有键按下后,即可进入确定具体闭合键的过程。其方法是:依次将行线置为低电平,即在置某根行线为低电平时,其它线为高电平。在确定某根行线位置为低电平后,再逐行检测各列线的电平状态。若某列为低,则该列线与置为低电平的行线交叉处的按键就是闭合的按键。具体扫描过程如下:先扫描第一行,即置行线P2.0为低电平,行线P2.1至P2.3为高电平,检测列线信号;如果列线值全为1,说明按键不在第1行线;如果不全为1,说明第1行上有按键,按键的位于第1行

26、和列线值不为1的列线交叉处。如果第1行无键按下,则用同样的办法再扫描第2行是否有键按下,主要逐行扫描下去,直到找到按键为止。 当找到按键后根据所对应的的行线值和列线值,按特定的方式即可组合成为按键的特征值,加入第7个键按下了,按键位于第2行、第3列的交叉处,当扫描到第2行时,置行线值为1101,列线值为1011,列线不全为1,表明找到了按键。这时只要把行线值和列线值合并成为1101 1011就是该按键的特征码。 得到案件的特征码后,就可以计算出所有按键的特征吗,按键码的顺序存储在一个表中,特征码在表中的序号就可以作为该按键的键码。所以只要找到按键的特征码就可以通过查找特征码在表中的具体位置,得

27、到相应的键码,最后根据键码转到相应的键值处理子程序,就可以完成键值处理。采用逐行扫描法时,列线上必须接上拉电阻,列线上可以不接上拉电阻。如果采用列扫描法识别按键,则行线上必须接上拉电阻,列线上可以不接上拉电阻。按键模块电路如图3-2所示:图3-2 按键模块电路3.2.2 按键子程序进入单片机的中断后,首先进入读键子程序,判断是电压还是电流,再进行电压电流调整,读键程序结束后,进入负反馈程序,使输出更接近设定值,并不断循环;直至下一次有键按下,重新进入读键子程序。电压调整模块如下:第一步,调用读键子程序,检测是否有按键按下,如果没有则直接输出当前电压值;如果有按键按下,则进入下一步。第二步,在确

28、定有按键按下时,判断是否为数字键,如果是数字键,则将输出改成当前数字电压;如果不是数字键,则进入下一步。第三步,判断按键是否为粗调,如果是,则调整时调整幅值为1V;如果不是,则为微调,调整幅值为0.1V;然后进行输出。当切换至电流时,方法同上,同时使用微调,幅值为加减0.1A。具体控制模块流程图如图3-3所示:NYNYYNNYYYNNNN定时中断入口重装定时器初值是否有按键按下是否粗调是否是“+”是否最大值是否最小值是否是“+”是否最小值输出电压+1V输出15V输出电压-1V输出电压+0.1V输出电压-0.1V输出电压值中断返回是否数字键修改输出值是否最大值输出5V输出15V输出5VNNYY

29、图-3-3 控制决策模块流程图3.3反馈模块3.3.1 模数转换电路ADC0809是美国国家半导体公司生产的CMOS工艺8通道,8位逐次逼近式A/D模数转换器。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换。转换时间为100s左右。ADC0809芯片有28条引脚,采用双列直插式封装,ADC0809引脚图如图3-7所示:VCC 11VREF(+) 12D1 14GND 13IN3 1EOC 7IN4 2START 6IN6 4IN7 5IN5 3CLK 10OE 9D3 828 IN222 ALE27 IN123 A225 A024 A

30、126 IN019 D520 D621 D718 D417 D015 D216 VREF(-)ADC0809图3-7 ADC0809引脚图下面说明各引脚功能: IN0-IN7:8路模拟量输入端。 D0-D7:8位数字量输出端。 A0、A1、A2:3位地址输入线,用于选通8路模拟输入中的一路。 ALE:地址锁存允许信号,输入,高电平有效。 START: A/D转换启动信号,输入,高电平有效。 EOC: A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间,其一直为低电平)。REF(+)、REF(-):基准电压。OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此

31、端输入一个高电平,才能打开输出三态门,输出数字量。 CLK:时钟脉冲输入端。要求时钟频率不高于640KHZ。 ADC0809的工作过程是:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动 A/D转换,之后EOC输出信号变低,指示转换正在进行。直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平时,输出三态门打开,转换结果数字量输出到数据总线上。本设计只需电压和电流两个模拟量,通过给A0输入地址1和0,并使ALE=1,将地址存入锁

32、存器中,通过控制信号,将采集的电压和电流进入模拟量输入端IN0和IN1,最后将转换结果数字量送到数据线上,数据输出线EXP1-EXP8分别接P1口的P1.0-P1.7。ADC0809接线图如图3-8所示:图3-8 ADC0809接线图3.3.2 反馈子程序当按键电路结束后,进入负反馈调节系统,将采样获得的值与设定值进行对比,如果设定值与采样值相等,则保持原来的值;采样值与设定值不相等,则当采样值大于设定值,因为设计要求是精确到十分位,所以将输出做减0.1处理,再继续取采样值与设定值对比,判断采样值与设定值的大小,之后重复循环反馈系统;当采样值小于设定值时,将采样值做加0.1处理输出,之后再将采

33、样值与设定值对比,判断是否相等,并一直循环负反馈子程序。反馈子系统流程图如图3-9所示:中断入口反馈值与设定值是否相等N反馈值是否大于设定值Y将输出做减0.1处理N输出做加0.1处理保存处理后结果Y中断返回图3-9 反馈子系统流程图3.4 显示模块 方案一:采用LCD液晶显示。字符型液晶显示模块是专门用于显示字母、数字、符号等的点阵型液晶显示模块。利用单片机的软硬件资源实现高精度高速A/D转换,转换精度和转换速度可以通过软件来改变。但是对软件部分要求非常高不易实现。 方案二:采用数码管作为显示器件,数码管是一种半导体发光器件,其基本单元是发光二极管。数码管按段数分为七段数码管和八段数码管,通过

34、对其不同的管脚输入相对的电流,会使其发亮,从而显示出数字能够显示 时间、日期、温度等所有可用数字表示的参数。由于它的价格便宜,使用简单是我们平时用的比较多的。 结合本实验要求,比较两种方案得出方案二更适合,所以选用第二种方案。3.4.1数码管结构输出电压采用7段数码管进行显示。数码管由8个发光二极管(以下简称字段)构成,通过不同的组合可用来显示数字0 9、字符A F、H、L、P、R、U、Y、符号“-”及小数点“.”。数码管的外型结构如图3-4(a)所示。数码管又分为共阴极和共阳极两种结构,分别如数码管结构图3-4(b)和数码管结构图3-4(c)所示: (a) 外型结构 (b) 共阴极 (c)共

35、阳极图3-4 数码管结构图3.4.2数码管工作原理共阳极数码管的8个发光二极管的阳极(二极管正端)连接在一起,通常,公共阳极接高电平(一般接电源),其它管脚接段驱动电路输出端。当某段驱动电路的输出端为低电平时,则该端所连接的字段导通并点亮,根据发光字段的不同组合可显示出各种数字或字符。此时,要求段驱动电路能吸收额定的段导通电流,还需根据外接电源及额定段导通电流来确定相应的限流电阻。共阴极数码管的8个发光二极管的阴极(二极管负端)连接在一起,通常,公共阴极接低电平(一般接地),其它管脚接段驱动电路输出端,当某段驱动电路的输出端为高电平时,则该端所连接的字段导通并点亮,根据发光字段的不同组合可显示

36、出各种数字或字符。此时,要求段驱动电路能提供额定的段导通电流,还需根据外接电源及额定段导通电流来确定相应的限流电阻。3.4.3数码管字形编码要使数码管显示出相应的数字或字符必须使段数据口输出相应的字形编码。对照图3-4(a),字型码各位定义如下:数据线D0与a字段对应,D1字段与b字段对应,依此类推。如使用共阳极数码管,数据为0表示对应字段亮,数据为1表示对应字段暗;如使用共阴极数码管,数据为0表示对应字段暗,数据为1表示对应字段亮。如要显示“0”,共阳极数码管的字型编码应为:11000000B(即C0H);共阴极数码管的字型编码应为:00111111B(即3FH)。依此类推可求得数码管字形编

37、码如表3-5所示。表3-5 数码管字型编码表显示字符字形共 阳 极共 阴 极dpgfedcba字型码dpgfedcba字形码0011000000C0H001111113FH1111111001F9H0000011006H2210100100A4H010110115BH3310110000B0H010011114FH441001100199H0110011066H551001001092H011011016DH661000001082H011111017DH7711111000F8H0000011107H881000000080H011111117FH991001000090H011011116

38、FHAA1000100088H0111011177HBB1000001183H011111007CHCC11000110C6H0011100139HDD10100001A1H010111105EHEE1000011086H0111100179HFF100011108EH0111000171HHH1000100189H0111011076HLL11000111C7H0011100038HPP100011008CH0111001173HRR11001110CEH0011000131HUU11000001C1H001111103EHYY1001000191H011011106EH-10111111B

39、FH0100000040H.011111117FH1000000080H灭灭11111111FFH0000000000H3.3.4 LED数码管驱动74LS164是串行输入/并行输出移位寄存器,有两个串行数据DA、DB输入端,使用时一般把它们连在一起;为清零输入端,低电平有效,当该端加入低电平时,寄存器输出Q0Q7全为低电平。在正常情况下,清零输入端接高电平,当CP信号上升沿到来时,数据右移一位;Q0Q7为并行数据输出端,同时Q7端也是串行数据输出端,对于串行输入的数据,最先输入的从Q7输出,最后进入的从Q0输出。CP为移位脉冲。74LS164的管脚排列如图3-6所示:图3-6 74LS164

40、的管脚排列显示电路由四个共阴级的数码管和一个74LS164组成。四个数码管分别组成显示电路的电压电流区分位、十位、个位、小数点位,比如可以显示A10.5V为电压值,B0.5A为电流值。由于四个数码管至少需要28根I/O线,为节约资源,采用串行输入并行输出的74LS164进行驱动输出。单片机的两个并行口P0.4和P0.5分别作为74LS164的信号输入口和时钟控制信号。P0.0、P0.1、P0.2、P0.3分别接电压电流区分位、十位、个位、小数位的片选端。Q0Q7(第36和1013引脚)并行输出端分别接在LED显示器的a-dp各段对应的引脚上。LED的8个段选端通过电阻和74LS164的并行输出

41、口即8根选线相连接。采用动态扫描驱动方式。当清除端(CLEAR)为低电平时,输出端(QAQH)均为低电平。串行数据输入端(A,B)可控制数据。当A、B任意一个为低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0为低电平。当A、B有一个为高电平,则另一个就允许输入数据,并在CLOCK上升沿作用下决定Q0的状态。显示数据以串行方式从AT89S51的P1.4口输出送往移位寄存器74LS164的A、B端,然后将变成的并行数据从输出端Q0Q7输出,选中LED相应的段。位选码由AT89S51的P0.0P0.3口输出低电平,以对数码管LED1LED4进行位选控制,这样,4个数码管便轮流显示

42、。由于人眼的残留效应,这4个数码管看上去几乎是同时显示。 致 谢在本论文收笔之际我首先要衷心的感谢我的指导老师张胜群老师。张老师以严谨的科学工作作风,渊博的知识、丰厚的学术功底、敏锐的学术洞察力和锐意进取、创新的精神使我铭记于心。在今后的学习工作中都将会时刻激励着我。在大学四年的学习中张老师对我孜孜不倦地教诲、精心地培养、全心全意地指导,这不仅使我在理论知识方面受益匪浅,而且提高了我独立分析和解决问题的能力。在此,向老师表示最诚挚的敬意。同时感谢在本次设计中给我很大帮助的其他老师。感谢四年来我们朝夕相处的同学们,在共同度过的岁月里我们互相帮助、互相学习、共同探讨问题。感谢多年来对我辛勤培养和教

43、育的所有老师,感谢曾经给我无私帮助的所有朋友。最后非常感谢在百忙之中评审我论文并提出宝贵意见的老师们。 参考文献1 朱兆优. 单片机原理及应用(第2版). 电子工业出版社, 2012.72 张毅刚. MCS-51单片机原理及应用. 哈尔滨工业大学出版社, 2004:32-403 徐建仁. 数字集成电路应用与实验. 国防科技大学出版社,1999:47-654 肖来胜. 单片机技术实用教程. 华中科技大学出版社,2004:121-1345 周航慈. 单片机程序设计基础. 北京航空航天大学出版社,2003:89-1006 陈光东.单片机微型计算机原理与接口技术.华中理工大学出版社,1999年。7 何

44、希才. 新型开关电源设计与维修. 国防工业出版社,2001:113-1378 张庆双电子元器件的选用与检测机械工业出版社,2003:109 王新贤通用集成电路速查手册.山东科学技术出版社,2001:1510 刘光序电子报第37期,2007:3-411 邓汉馨模拟集成电子技术教程高等教育出版社,1994:3512 许兴存.曾琪琳.微型计算机接口. 电子工业出版社,2003年。13 张洪润.易涛.单片机应用技术教程.清华大学出版社,2003年。14 韩全立. 单片机控制技术及应用. 电子工业出版社,2001:54-7715 童诗白.华成英.模拟电子技术基础. 高等教育出版社,2001,200-21

45、5. 16 阎石.数字电子技术基础. 高等教育出版社,2001,523-545. 17 赵文博.刘文涛.单片机程序设计. 人民邮电出版社,2005,25-215.18 Meehan Joanne,Muir Lindsey.SCM in Merseyside SMEs:Benefits and barriersJ. TQM Journal. 200819Thomas Floyd.Electronic DevicesM.5th ed.New Jersey:Prentice-HallInc, 2003:67-71.附录一 系统源程序资源分配:P0.0P0.3:为数码管控制信号;P0.4P0.5:分别

46、作为74LS164的信号输入口和时钟控制信号;P0.6:PWM输出信号P0.7:ADC0809电压电流接收信号的选择P1口:P1.0-P1.7分别接DC0809的数据输出线EXP1-EXP8;P2口:10个数字键0至9、粗调步进“+1V”、粗调减“-1V”、微调步进“+0.1V”、 微调减“-0.1V”、一个电压电流显示切换键、预制电压时位选键;P3.2:比较器输出端P3.7:ADC0809数据允许输出控制信号PWM DATA 29H ;PWM转换寄存器V/A DATA 30H ;电压电流切换显示UDIS0 DATA 31H ;显示寄存器低位USDIS1 DATA 32H ;显示寄存器高位KE

47、YCODE DATA 33H ;键码寄存器KEYT DATA 34H ;键龄寄存器BZ DATA 20HKEYOK BIT BZ.0 ;按键响应位 ORG 0000H LJMP MAIN ;无条件跳转指令 ORG 000BH LJMP TIME0 ORG 0030H MAIN: MOV R2,#00 ;将数字0赋值给R2 MOV R0,#30H CLR A ;将A清零CLS: MOV R0,A INC R0 ;R0自加1 DJNZ R2,CLS MOV 20H,#00 MOV R2,#0AH ; 自检 MOV DPTR,#DISLIST MOV R4,#00ZJ: MOV R3,#02 MOV

48、 A,R4 ;将R4内的值给A MOVC A,A+DPTR ;读取程序存储器地址中的数据传送给ASF: MOV SUBF,A ;将A的值给SUBF JNB TI,$ CLR TI DJNZ R3,SF ;R3减1不为0时跳转 INC R4 LCALL DL500MS DJNZ R2,ZJ MOV UDIS0,#00 ;输出低位置零 MOV UDIS1,#00 ;输出高位置零 LCALL DISPLAY MOV DPTR,#7FFFH ;PWM地址 MOV A,PWM MOVX DPTR,A MOV TMOD,#01H ;定时器0工作方式为1 MOV TH0,#0D8H ;定时时间为10ms M

49、OV TL0,#0F0H SETB EA SETB ET0 SETB TR0SLPE: ORL PCON,#1 ;睡眠等待中断 AJMP STEPTIME0:CLR EA MOV TH0,#0D8H ;定时10ms MOV TL0,#0F0H LCALL KEY SETB EA RETIDISPLAY:MOV DPTR,#DISLIST ;送显子程序 MOV A,UDIS1 ;送显高位 MOVC A,A+DPTR CLR ACC.3 ;小数点点亮 MOV SBUF,A JNB TI,$ CLR TI ;将TI清零 MOV A,UDIS0 ;送显低位 MOVC A,A+DPTR MOV SBUF

50、,A JNB TI,$ CLR TI ;将TI清零 RETKEY: MOV P2,#OFH MOV A,P2 ;键盘解释子程序KSCAN:ACALLKEYS1 ;调用判键闭合子程序 JNZKEY1 ;有键闭合则转至去抖动 AJMPRETURN ;无键闭合则返回KEY1:ACALLD10MS ;调用10ms延时程序 ACALLKEYS1 ;再次调用判键闭合子程序 JNZKEY2 ;确认有键闭合,开始扫描 AJMPRETURN ;无键闭合则返回KEY2:MOVR2,#0FEH ;送首列扫描字 MOVR4,#00H ;送首列号KEY0: MOVA,R2 MOVP1,A MOVA,P1 ;扫描字从P2

51、口送出 JBACC.4,LINE1 ;第1行无键闭合,转第2行 MOVA,#00H ;第1行首键号送A AJMPKPV ;转键值计算程序LINE1: JBACC.5,LINE2 ;第2行无键闭合,转第3行 MOVA,#04H ;第2行首键号送A AJMPKPV ;转键值计算程序LINE2:JBACC.6,LINE3 ; 第3行无键闭合,转第4行 MOVA,#08H ;第3行首键号送A AJMPKPV ;转键值计算程序LINE3: JBACC.7,NEXT ;第4行无键闭合,转下1列 MOVA,#0CH ;第4行首键号送AKPV: ADDA,R4 ;计算键值 PUSHACCKEY3:ACALLK

52、EYS1 ;等待键释放 JNZKEYS1 POPACC SETBFLAG ;置有键按下标志 SJMPKEY4RETURN:CLRFLAG ;清有键按下标志KEY4: RETNEXT: INCR4 ;列号加1 MOV A,R2 CJNEA,#0F7H,RETURN ;判断4行是否都已扫描完,完则返回 RLA ;为扫描下1行做准备 MOVR2,A AJMPKEY0 ;开始扫描下1列KEYS1:MOVP1,#0F0H ;判键闭合子程序 MOVA,P1 CPLA ANLA,#0F0H ;将A与0F0H进行与运算 RETD10MS:MOVR7,#14H ; 10ms延时子程序DLY: MOVR6,#0F8H

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!