课程设计(论文)利用PWM控制技术实现直流电机的速度控制

上传人:1666****666 文档编号:39091958 上传时间:2021-11-09 格式:DOC 页数:22 大小:6.21MB
收藏 版权申诉 举报 下载
课程设计(论文)利用PWM控制技术实现直流电机的速度控制_第1页
第1页 / 共22页
课程设计(论文)利用PWM控制技术实现直流电机的速度控制_第2页
第2页 / 共22页
课程设计(论文)利用PWM控制技术实现直流电机的速度控制_第3页
第3页 / 共22页
资源描述:

《课程设计(论文)利用PWM控制技术实现直流电机的速度控制》由会员分享,可在线阅读,更多相关《课程设计(论文)利用PWM控制技术实现直流电机的速度控制(22页珍藏版)》请在装配图网上搜索。

1、1摘要摘要随着时代的进步和科技的发展,直流电机在工农业生产、交通运输以及日常生活中起着越来越重要的作用,因此,对直流电机的研究有着积极的意义。长期以来,直流电机被广泛应用于调速系统中,而且一直在调速领域占据主导地位,这主要是因为直流电机不仅仅调速方便,而且在磁场一定条件下,转速和电枢电压成正比。并且由于直流电机具有优良的起动、制动性能,宜于在广泛范围内平滑调速。在本文中,我们设计一个直流电机。利用 FPGA 可编程芯片实现对直流电机 PWM 控制器的设计,对直流电机转速进行控制。用 VHDL 语言编程实现直流电机 PWM 控制器的 PWM 产生模块、转向调节模块等功能。主要分为如下模块: 1、

2、PWM 模块:设定计数器设置 PWM 信号的占空比,以此来驱动。2、正反转控制模块:控制电机的正转和反转。3、去抖动模块:实现直流电机转速的精确测量,主要用来消除直流电机的抖动,能够便于观察。4、显示模块:该模块主要用来显示直流电机的转速及档位。关键词:关键词:直流电机、PWM、控制、速度、FPGA2目录目录一一. 任务解析任务解析.3二二. 系统方案论证系统方案论证.3 2.1 总体方案与比较论证 2.2 系统原理与结构三三PWM 模块模块.5 3.1 方案的设计 3.2 方案的实现 3.3 方案的仿真四四. 正反转模块设计正反转模块设计.7 4.1 方案的设计 4.2 方案的实现 4.3

3、方案的仿真五去抖动模块五去抖动模块.8 5.1 方案的设计 5.2 方案的实现 5.3 方案的仿真 六六. 显示模块显示模块.9 6.1 方案的设计 6.2 方案的实现 6.3 方案的仿真 七七. 总结总结.10 7.1 功能验证 7.2 性能测试 7.3 误差分析 7.4 整体仿真 7.5 心得体会八参考文献八参考文献.12九附录九附录.123一任务解析一任务解析 利用 PWM 控制技术实现直流电机的速度控制。(1)基本要求: a速度调节:4 档,数字显示其档位。 b能控制电机的旋转方向。 c通过红外光电电路测得电机的转速,设计频率计用 4 位 10 进制显示电机的转速。(2)发挥部分 a设

4、计“去抖动”电路,实现直流电机转速的精确测量。 b修改设计,实现直流电机的闭环控制,旋转速度可设置。课程设计要求我们利用PWM控制技术以及VHDL语言编写代码来实现对直流电机的控制。根据设计要求我们要对电机的正反转控制、速度调节、测量电机的转速并用4位10进制显示电机的转速以及设计去抖动电路进行设计,实现对直流电机转速的精确测量以及实现直流电机的闭环控制等。根据设计要求,我们可以通过FPGA产生PWM波形,其中,数字比较器的一端接设定值计数器的输出,另一端接锯齿波发生器输出。那么数字比较器的输出端即是PWM波形。我们将输出的PWM波通过接入正反转控制来控制电机是否开始工作。另外,我们采用两个二

5、选一选择器来实现电机的正反转控制。并将电机转速脉冲信号通过去抖电路送入频率计,并在数码管显示。 二系统方案论证二系统方案论证2.1 总体方案与比较论证总体方案与比较论证 方案 1:采用线性控制方式进行直流电机的控制 此方式一般用于小功率电机平滑转速控制。 方案 2:采用一般模拟 PWM 波进行直流电机控制 此方案接有 D/A 转换器和模拟比较器,外部连线多,电路复杂,不便于控制,故该方案不理想。 方案 3:使用单片机设计控制的直流电机4 通过单片机,我们完全能够实现驱动模块,转速模块显示模块等需要的模块。硬件电路简单,所用器件少,但精度不易满足,产生调控范围小,难以产生较高转速。 方案 4:基

6、于 FPGA 实现对直流电机的控制 通过用 VHDL 语言编写各个模块,再加以整合,从而实现整体功能。采用此方案,所形成的电机功能稳定性强,精度高,可控范围较大,能形成最大速度较大,更能满足设计任务。比较以上 4 种方案,可知方案 4 简洁灵活,控制性能等均比其他方案强,能完全达到设计要求,故采用第 4 种方案。2.2 系统原理与结构系统原理与结构电机转速预置电机速度级别显示 PWM 模块 电机速度 控制模块PWM 参考频率 A Y1电机正转 GND B 22MUX S Y2 电机反转 电机方向控制 1Hz 电机转速显示 电机转速脉冲信号 频率计 去抖动电路 参考频率直流电机控制原理框图直流电

7、机控制原理框图5 转速测量转速测量 直直 流流 键盘控制键盘控制 F P 显示电路显示电路 电电 GA 机机 串口通信串口通信 驱动电路驱动电路硬件系统框图硬件系统框图设定值计数器设置 PWM 信号的占空比。当 U /D = 1,输入 CL K2, 使设定值计数器的输出值增加,PWM 占空比增加,电机转速加快。 当 U/D = 0,输入 CL K2,使设定值计数器的输出值减小,PWM 占空比减小,电机转速变慢。在 CL K0 的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时, 数字比较器输出低电平;当计数值大于设定值时,数字比较器输出高电平,由此产生周期性的 PWM 波形

8、。旋转方向控制电路控制直流电动机转向及启动停止, 该电路由两个 2 选 1 选择器组成, Z/F 键控制选择PWM 波形从正端 Z 进入 H 桥,还是从负端 F 进入 H 桥,以控制电机的转动方向。START 键通过“与”门控制 PWM 的输出, 实现对电机的工作停止控制。H 桥电路由大功率晶体管组成,PWM 波形通过方向控制送到 H 桥,经功率放大以后驱动电机转动。3. PWM 模块设计模块设计 3.1 方案的设计方案的设计 PWM 控制电路由计数器、锯齿波发生器及数字比较器组成,由此来实现 PWM 的波形输出。图中的 DECD 是一个转速控制模块,输入端接按键,来选择档位的大小;其输出的一

9、端为档位的显示,另一端接 CMP 比较器的输入6端。CNT8 是一个八位的二进制计数器,作为脉宽计数器。脉冲计数器在 CLK5的激励下输出从 0 开始的逐渐增大的锯齿波。将 DECD 和 CNT8 的输出端接到比较器 CMP 的输入端。两路数值同时加在数字比较器上,当脉宽计数器输出值小于 DECD 输出的规定值时,比较器输出低电平;当脉宽计数器输出值大于DECD 输出的规定值时,比较器输出高电平。改变 DECD 的输出值就等于改变PWM 的输出信号的占空比,也等于改变了周期,这样就实现了速度调控。 3.2 方案的实现方案的实现 3.3 方案的仿真方案的仿真 DECD 的仿真如下:的仿真如下:

10、CNT8 的仿真如下:的仿真如下:7 CMP 的仿真如下:的仿真如下: 总体呈现仿真如下:总体呈现仿真如下: 如上图所示:输入端 D_STP 接按键 2 调节速度的大小,CLK5 输入的的信号,DLED2.0显示档位的大小,0 到 4 的五个档位。其中,0 档位表示停止转动,1、2、3、4 档位依次增大。4. 正反转模块设计正反转模块设计4.1 方案的设计方案的设计 该模块利用两个二选一选择器来控制正反转。 当输入为 1 时,两个 MUX21 都选 a,但下面那个 MUX21 的 a 却是接地的,因此只有上面的 MUX21 输出,此时为正转;而转速由上面的比较器输出信号控制。 当输入为 0 时

11、,两个 MUX21 都选 b,但上面那个 b 缺失却是接地的,因此只有下面的 MUX21 输出,此时为反转;而转速由上面的比较器输出信号控制。 Z/F 键控制选择 PWM 波形从正端 Z 进入 H 桥,还是从负端 F 进入 H 桥,以控制电机的转向。按键 1 控制正反转。4.2 方案的实现方案的实现84.3 方案的仿真方案的仿真由此图可以看出,由 CMP 引出的输出端作为正反转的输入端和连接硬件按键 1 的 Z/F 可以控制电机的正反转。五去抖动模块五去抖动模块 5.1 方案的设计方案的设计去抖动电路采用两个D触发器和相应的门电路来实现。去抖动电路的滤波时钟选择为1024HZ。两个D触发器进行

12、级联连接,经触发器产生Q1和Q2两个信号。那么去抖动电路即为Q1的非与Q2经过一个与门来实现。5.2方案的实现方案的实现95.3方案的仿真方案的仿真六六. 显示模块显示模块 6.1 方案的设计方案的设计 此部分分为两个显示模块,一个是档位的显示,另一个是转速的显示。 电机档位显示模块采用 DLED2.0的 3 位 2 进制来显示档位。其档位显示在数码管 8 中。 转速的显示是由一个频率器组成,一个输入端接去抖动电路的输出端,另一输入端接 CLK0。可以通过数码管显示转速,其转速显示在数码管 1 和数码管2 中。 6.2 方案的实现方案的实现档位显示: 转速显示: 10 6.3 方案的仿真方案的

13、仿真由上图可知,频率计来显示速度的大小,D_OUT0 接数码管 1,显示转速的个位;D_OUT1 接数码管 2,显示转速的十位。七总结七总结7.1 功能验证功能验证 通过把设计完成的工程下载到 GW48-SOPC 实验箱中 FPGA 芯片上对设计所要求达到的功能进行验证,通过验证本次设计只能够完成直流电机速度显示、正反转、调档、去抖动等功能,但是由于能力和时间的原因没有实现直流电机的闭环控制,没有达到旋转速度可调设置的要求。 7.2 性能测试性能测试 速度测试:最高速度 62 转/s,最低速度 20 转/s。 调速测试:将速度分为 5 档-0、1、2、3、4。0 档表示停止转动,1、2、3、4

14、 转速依次递增。 正反转测试:当按下按键 1 时,发光二级管亮,即正转;再按一下则反转。7.3 误差分析误差分析 本次设计在硬件验证这一环节出现一定的误差,这包括两方面的产生一是设计本身产生的,二是实验系统造成的。 设计误差分析 由于本身的能力以及在设计中的逻辑分析中有一定的失误,以及设计的过程中一些能够影响到最终结果的因素没有考虑到造成在硬件验证这一环节出现一定的误差。 系统误差分析11 本次设计中速度测试传感模块由于码盘自身的老化问题最终将导致速度测试的不准确性,其次就是示波器测试额定转速过程中由于与硬件信号输出端连线存在一定的问题,再者就是实验系统的实验箱有一定的老化及内外部接线的不稳定

15、性导致的问题,在实验的过程中换了几次实验箱才找到一个合适的。7.4 整体仿真整体仿真7.5 心得体会心得体会 两周的课程设计使自己真的收获许多,不单单是对知识的理解更是深一步的实际应用。把枯燥的课本知识怎么融合在实际的操作之中。 在进实验室之前,从网上找了许多有关直流电机设计的文档,结合着课本及实验指导书慢慢的理解这个题目。觉得也没什么的难的,就是几个功能模块的实现,然后组合在一起就可以实现题目的要求。但真进到实验室之后,按照之前的想法操作后才知道并没有那么简单,并不是简简单单的模块组合,必须是按照要求进一步的深化,明确理解各个模块之间的关系,以此更详尽的去设计各个模块的功能。不要急于去实现所

16、有的功能,应该一个一个的来,尽量详细的描述模块的作用,这样才能保证在最后的工程中哪出错可以直接去修改。通过这次课程设计对直流电机的工作原理及相关的控制原理有了进一步的了解,认识到自己所学知识的不足,以及所学知识在实际中的具体应用,进一步加深对所学知识的理解。在这次课程设计中遇到了众多的难题,有好多由于自己对所学知识不太透彻导致设计中遇到好多问题自己无法解决,最后还是在向同学寻求帮助才能够具体的实现其整体功能中的部分。如:直流电机的速度、12转向控制、档位选择、消抖动等功能,另外的一些功能如:闭环控制、速度预设由于能力和时间的原因没有完全的实现,成为本次设计的一大遗憾。在具体到每一个模块的设计中

17、,可能是由于在这方面的知识不足吧,并不是很顺利,例如在最开始的直流电动机的档位显示,最初想的是有一个可以直接暂停开始的复位键 START,但在程序中并没有直接编写,所以又将最初设计的四个档位改为含有停止转动的 0 档位的五个档位,最后采用 DLED2.0的 3位 2 进制来显示档位。虽说本次设计由于自己能力有限没有完成所有的功能但是由于是自己亲身参与,其中滋味只有自己知道,通过这次设计不但对自己所学知识有了更清楚的认识同时也为今后的工作学习有很大的促进作用,做任何事都要与其他人进行交流,通过不断的交流来完善自己的思想,最后达到自己的目的。八参考文献八参考文献何小艇 电子系统设计 浙江大学出版社

18、 2008.1潘松 黄继业 EDA 技术实用教程 科学出版社 2006.10齐晶晶 现代电子系统设计实验指导书 电工电子实验教学中心 2009.89附录附录 9.1 程序:程序:数字比较器数字比较器 CMP:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CMP ISPORT(A:IN STD_LOGIC_VECTOR(7 DOWNTO 0);B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);AGB:OUT STD_LOGIC);END CMP;13ARCHITEC

19、TURE C OF CMP ISBEGINPROCESS(A,B)BEGINIF B=A THENAGBA THENAGB=0;END IF;END PROCESS;END;八位二进制计数器八位二进制计数器 CNT8:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT8 ISPORT(CLK:IN STD_LOGIC;AA:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END CNT8;ARCHITECTURE FRE OF CNT8 ISSIGNAL CNT

20、:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF CLKEVENT AND CLK =1 THEN CNT=CNT+1;END IF;END PROCESS;AADDDDDNULL;END CASE;END PROCESS;PROCESS(CLK)BEGINIF CLKEVENT AND CLK=1 THENIF CQ=100THEN CQ=000;ELSE15 CQ=CQ+1;END IF;END IF;END PROCESS;DSPY=CQ;END;频率计频率计 FRE_CNT10:LIBRARY IEEE;USE IEEE.ST

21、D_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FRE_CNT10 ISPORT(CLK_1HZ:IN STD_LOGIC;FREQ:IN STD_LOGIC;D_OUT0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);D_OUT1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END FRE_CNT10;ARCHITECTURE FRE OF FRE_CNT10 ISSIGNAL CLK_2HZ:STD_LOGIC;SIGNAL CLR,LOAD,C_EN:STD_LOGIC;SIGNAL

22、 CNT0,CNT1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK_1HZ,CLK_2HZ,LOAD)BEGINIF RISING_EDGE(CLK_1HZ) THENCLK_2HZ=NOT CLK_2HZ;END IF;LOAD=NOT CLK_2HZ;END PROCESS;16PROCESS(CLK_1HZ,CLR,CLK_2HZ)BEGINIF CLK_1HZ=0 AND CLK_2HZ=0 THEN CLR=1;ELSE CLR=0;END IF;END PROCESS;PROCESS(CLK_2HZ,FREQ,CLR)BEGINIF C

23、LR=1 THEN CNT00);ELSIF CLK_2HZ=1 THENIF RISING_EDGE(FREQ) THENIF CNT0=1001 THEN CNT0=0000;C_EN=1;ELSE CNT0=CNT0+1;C_EN=0;END IF;END IF;END IF;END PROCESS;PROCESS(CLK_2HZ,CLR,C_EN)BEGINIF CLR=1 THEN CNT10);ELSIF CLK_2HZ=1 THENIF RISING_EDGE(C_EN) THENIF CNT1=1001 THEN CNT1=0000;ELSE CNT1=CNT1+1;END IF;END IF;END IF;END PROCESS;PROCESS(LOAD,CNT0)BEGIN17IF RISING_EDGE(LOAD) THEND_OUT0=CNT0;D_OUT1=CNT1;END IF;END PROCESS;END; 9.2 顶层文件顶层文件 18 9.3 管脚锁定管脚锁定 9.4 速度显示速度显示192021评评 语语 22 成绩:成绩:

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!