路灯控制器的设计与制作

上传人:1666****666 文档编号:38299174 上传时间:2021-11-06 格式:DOC 页数:38 大小:1.31MB
收藏 版权申诉 举报 下载
路灯控制器的设计与制作_第1页
第1页 / 共38页
路灯控制器的设计与制作_第2页
第2页 / 共38页
路灯控制器的设计与制作_第3页
第3页 / 共38页
资源描述:

《路灯控制器的设计与制作》由会员分享,可在线阅读,更多相关《路灯控制器的设计与制作(38页珍藏版)》请在装配图网上搜索。

1、摘要毕业设计报告路灯控制器的设计与制作学生姓名所 在 系电子工程系班 级08电信1班专 业电子信息工程技术指导教师 副教授2010年10月18日摘 要本文主要介绍模拟电路与现场可编程逻辑器件FPGA组合完成路灯控制器设计与制作的思路和具体方法,还简要介绍路灯控制器当前的发展现状、功能及其应用场合,附带介绍路灯控制器设计与制作的其它两种方案即模拟电路与数字电路组合、模拟电路与单片机组合。但本文侧重于介绍各单元电路的设计和各程序模块的编写以及设计中所使用到的特殊器件的介绍和使用方法。其中程序编辑编译和波形仿真是借助Quartus 软件完成,单元电路仿真用Multisim7仿真软件完成,本次设计采用

2、模拟电路与现场可编程逻辑器件FPGA组合具有简化整体设计,提高整体电路的稳定性和可靠性,易实现设计要求等优点,缺点是购买开发板的成本较高。关键词:模拟电路 FPGA Quartus 路灯控制器目录II目录目 录第一章 选题及前期调研11.2 路灯控制器特点及应用11.3 选题依据21.4 设计目标和内容2第二章 方案选择与论证42.1 方案一:模拟电路与数字电路组合42.2 方案二:模拟电路与可编程逻辑器件(FPGA)组合42.3 方案三:模拟电路与单片机组合52.4 VHDL语言及Quartus 软件简介72.4.1 VHDL语言简介72.4.2 Quartus 软件简介82.5 数码管和E

3、DA实验箱简介82.5.1 数码管简介82.5.2 EDA实验箱简介10第三章 总体方案设计和单元模块设计113.1 总体系统结构图113.2 单元模块设计123.2.1 直流稳压电源模块123.2.2 三端稳压器介绍133.2.3 三极管小信号放大电路模块143.2.4 三极管开关电路及控制电路模块153.2.5 电磁式继电器介绍163.2.6 路灯连续开启时间模块183.2.7 统计路灯开启次数和扫描显示模块19第四章 系统调试214.1 各单元电路测试214.2 程序仿真23第五章 设计总结25致 谢27参考文献27附 录28FPGA顶层文件原理图28分频程序29秒计数程序30统计路灯开

4、启次数程序31译码扫描程序32 I第一章 选题及前期调研1.1 路灯控制器简介随着社会的发展,城市人口的不断增加,城市建设规模的扩大化。为完善城市的基础设施建设和谐、安全的城市人居环境、美化城市,路灯控制器的设计要求不断提高。现在市场上生产路灯控制器的生产厂家众多,控制器功能齐全,智能化程度比较高,路灯控制器的类型也层也不穷。例如,路灯太阳能控制器、智能路灯节能控制柜、路灯节电控制柜、路灯节能电器等一系列的路灯控制器。路灯控制器集电磁技术、智能化控制技术、数据控制技术于一体,在可控和平缓的方式下智能调节,路灯控制器实现公共照明系统的工作电流与亮度需求的理想结合,达到节电和优化供电目的,路灯控制

5、器节能率可高达20%-40%,对用电系统的保护作用可使其寿命延长3-4倍。路灯控制器主要采用优质、高性能元器件,且极少运用活动的元器件,保证了极高的产品工作安全性,因而确保为用户单位提供更安全、可靠和更优性能的产品服务。路灯控制器现有两种类型,室内型:安装在室内照明控制柜下端;户外型:可按照用户要求进行安装,放置在不锈钢的机柜里。其中光控型路灯控制器广泛应用于城市建设,光控型路灯控制器都开启和关闭都是通过采集自然光强弱的变化转化成电压电流的变化控制路灯的亮灭,具有自动控制的功能,能最大效率的节约电能而且在恰当时候开启,给行人提供方便。1.2 路灯控制器特点及应用现代路灯控制器具有的特点:采用先

6、进的微处理芯片,高可靠性、误差小、低成本、稳定性强,具有断电数据保存,时钟不间断工作,无需更换电池,维持时钟运行十年以上;采用数码管准确显示路灯一次连续开启的时间和路灯总共的开启次数;抗干扰能力强,能抵御从电网直接输入幅值达250伏的干扰脉冲;大功率继电器输出,可接220伏或380伏接触器,控制稳定,使用寿命长,体积小,安装简单。路灯控制器广泛应用于市政道路、高速公路、桥梁、隧道、园林、码头、观光景灯、体育广场、游乐场所、广告灯箱等公共照明环境;路灯控制器适用的灯具类型:高压钠灯、低压钠灯、金属卤化物灯、高压汞灯、荧光灯等所有气体放电式照明灯具。1.3 选题依据路灯控制器的设计与制作从理论意义

7、来说可以将本人所学的知识系统的联系起来形成一条主线,选择此题目可以复习模拟电子技术、数字电路技术、EDA技术、单片机技术、可编程逻辑器件等相关知识的作用;本次设计我采用模拟电路和软件编程相结合的方法完成本次设计的任务和要求,我们生活当中的消费类电子产品现在很多都是半智能或全智能化,而且实现电子产品智能化很多都是在程序为主要实现方法,例如,采用汇编程序,C程序,VHDL语言等编写程序,可以在很大程度上节约成本,提高产品的智能化程度。设计要求采集自然光照控制路灯的开启和停止,这不但能实现自动化控制而且还能合理利用电能,实现资源的优化配制,且对我本人而言设计难易程度适中。从实际来说,路灯控制器在我们

8、的生活中应用十分广泛,尤其在街道两旁,当我们在夜晚狂街时路灯发挥着具大的作用,为行人提供方便,同时也使城市的夜景别具特色,远望去到处是灯火辉煌,在现代生活中随着人民生活水平的提高,路灯控制器的设计要求和技术含量也不断提高,所以选择控制器的设计与制作可以培养本人的设计思维,调动学习积极性,实践过程中可以起到练习故障查找、处里能力,练习焊接技术。1.4 设计目标和内容利用模拟电路和现场可编程门阵列(FPGA)设计制作路灯控制器,并使用Quartus 开发平台对时、分、秒、分频、计数、动态扫描显示各模块进行编程和仿真验证,路灯控制器的设计与制作分两个部分:采光控制部分和计数(包括路灯当前一次的连续开

9、启时间和路灯的开启次数的计数)显示输出部分。然后在将两部分整合在一起就完成路灯控制器的设计,通过采光、光电转换控制部分输入控制信号,计数显示输出部分就能正确显示当前的控制状态和输出状态。路灯控制器的目标为:1、当日照光亮到一定的程度时路灯自动熄灭,当日照光亮暗到一定程度时路灯自动点亮。2、设计计时电路,用数码管显示路灯当前一次的连续开启时间。3、设计计数显示电路,统计路灯的开启次数。本次设计内容包括:1、直流稳压电源的设计与制作。2、光电转换、三极管分压稳定偏置放大电路、三极管开关控制电路。3、光敏电阻、集成三端稳压器件、继电器、七段数码管元件和FPGA开发板的使用。4、可编程逻辑器件的设计制

10、作数字系统的步骤(程序编辑、编译、仿真、调试、引脚邦定、下载)和方法。5、VHDL语言的语法、语法结构和程序结构。6、七段显示数码管的结构和显示方法。第二章 方案选择与论证5第二章 方案选择与论证2.1 方案一:模拟电路与数字电路组合模拟电路部分包括直流稳压电源、光电转换、小信号放大、三极管开关电路,直流稳压电源又包括降压、整流、滤波、稳压四部分,即可得到直流稳定12V电压;数字电路主要有秒肪冲、计数、译码七段数码管显示。利用模拟电路提供12V直流稳定电压,完成采光和小信号放大并产生控制信号控制继电器的吸合,三极管的开关产生脉冲信号和使能信号;数字电路负责显示路灯当前一次的连续开启时间和统计路

11、灯的开启次数。模拟电路部分用到的元件及作用:三极管9013用于小信号放大和开关,光敏电阻完成光电轮换,普通碳膜电阻根据三极管需要提供适当的偏置电压和隔离、保护作用,继电器在开关三极管的控制下用于接通和断开路灯回路。数字部分用到的元件:555定时器,74LS160计数器、74LS48译码器、七段显示数码管,其中555定时器产生秒脉冲,用于驱动74LS161计数,74LS48负责译码将74LS161计数的4位二进数译码成7位二进制以驱动七段数码管正常工作。模拟电路与数字电路组合总体看来具有设计思路清晰、价格成本低、稳定性高、易现实,但电路结构相对复杂,需要购买许多电子元件和集成块,整体电路调试困难

12、,设计框图如图2-1所示:直流稳压电源220V光电转换三极管开关控制小信号放大译码计数秒脉冲冲数码管路灯继电器图2-12.2 方案二:模拟电路与可编程逻辑器件(FPGA)组合方案二中模拟电路部分和方案一完全相同,在此不在赘述,重点介绍FPGA器件的设计部分,目前FPGA主要有ALTERA公司的ACEX、MAX系列、XILINX的Virtex_4系列等,其中ALTERA公司的ACEX系列FPGA在教学中用得较多;FPGA采用了逻辑单元阵列LCA内部包括可配置逻辑模块CLB、输出输入模块IOB和内部连线三个部分。FPGA的编程无须专用的FPGA 编程器,只须用通用的EPROM、PROM编程器即可。

13、这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。在本次设计中FPGA采用的是ALTERA公司MAX II系列EPM240T100C5N开发板,开发板内部是人为将实际需要的电路功能用VHDL语言语法描述出来,然后能过下载线将程序下载到芯片内部,程序的主要任务是负责完成路灯当前一次的连续开启时间的记录和统计路灯的开启次数,完成这一功能将是由不同功能的程序模块组合在一起。在满足芯片正常工作的外部件后,当控制端的使能信号来时芯片执行内部程序并将执行程序的结果从芯片的输出端口输出,驱动后序电路工作。

14、方案二除模拟电路和方案一相同外还具有自身的特点:此方案采用EPM240T100C5N开发板代替方案一中的数电路部分使整体设计简单化,同时要求设计人员要有一定的实际功能分析,将功能程序化和VHDL程序编写能力,对设计人员的技术要求比方案一的高,使电路具有比较好的稳定性和灵敏度,缺点是购EPM240T100C5N开发板成本较高,这样一来就使得整个设计的成本增加。方案二的设计框图如图2-2所示:直流稳压电源220V三极管开关控制小信号放大光电转换FPGA数码管继电器路灯图2-22.3 方案三:模拟电路与单片机组合方案三中模拟电路部分主要负责提供直流稳压电源,在此不在赘述。单片机是指集成在一个芯片上集

15、成了一台微行计算机所需的CPU、存储器、输入输出端口和时钟电路等,也被称为微控制器(Microcontroler),具有体积小、价格便宜、性能稳定、通用性好、易生产、抗干扰能力强,使用灵活等特点。单片机集成芯片常用的有AT89系列、MCS-51系列等,其正常工作条件必须满足5V供电、时钟电路、复信电路正常,单片机主要应用于智能仪表、工业实时控制、机电一体化、通信接口、家用电器等,单片机程序的编写采用C语言或汇编语言编写,在外围电路接上所需的外部元件和设备在触发信号到来时开始工作,AT89S52如图2-3所示:图2-3方案三的设计原理:电源电路、时钟电路、复位电路分别给单片机提供5V电源、工作时

16、钟脉冲、复位信号以满足单片机的正常工作条件,自然光的强弱经光敏电阻转换成电信号的大小,在经过A/D变换将模拟的电信号变为数字信号通过引脚加到单片机内部,单片机动作后输出电路包括路灯一次开启的时间,路灯总共开启的次数和路灯的通断,方案三总体来说具有整体电路简单、节约成本、稳定性高、对触发条件感应灵敏、所需要的外部元件少,但程序编写过程复杂难懂,方案三的设计框图如图2-4所示:图2-42.4 VHDL语言及Quartus 软件简介2.4.1 VHDL语言简介VHDL语言是一种用于电路设计的高级语言,出现在80年代的后期,最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范

17、围较小的设计语言。VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit Hardware Description Language)翻译成中文就是超高速集成电路硬件描述语言,因此它的应用主要是应用在数字电路的设计中。目前,它的应用多数是用在FPGA/CPLD/EPLD的设计中,VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格语句语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,

18、及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。同时还具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述为系统描述能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。VHDL设计流程如下:1、创建工程,使用New Project Wizard工具选项创建设计工程。2、程序编辑编译,利用Quartus 工具的文本

19、或图形编辑器将设计者的设计意图用文本或图形方式表达出来,完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式。3、仿真,利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。4、调试,在仿真过程中若程序出现语法上的错误,程序将不会通过仿真同时会有相就的错误提示,此时就需要人为的根据错误提示修改程序,使程序正确并通过仿真。5、引脚邦定,引脚邦定是为了将程序下载到硬件电路并使电路正常工作得到我们希望的结果,此过程要依据集成芯片的内总引脚与外部电路实现配对。6、下载,如果以上的所有过程都没有发现问题,就可以通过FPGA/CPLD下载电缆载入目标芯片中将程序下载到实际电路中。7、

20、硬件仿真与测试。2.4.2 Quartus 软件简介Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,Quartus II除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地

21、实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台,使用Quartus 软件完成VHDL程序设计的一般步骤:1、 建立工程:filenew project wizard next(输入工程文件的路径、工程文件名字、顶层文件名字)finish。2、 建立文件:filenewVHDL/block diagram。3、 源程序编辑、器件参数设置、编译:assignmentsettingdevice acex1kep1k30tc144-3okprocessingstart compilation。4、 程

22、序波形仿真:filenewother filevector waveform file(双击空白处)noder finderfilteralllist(加入端口)okok设置输入信号processingstart simulation。5、 引脚绑定:assignmentassignment editorpin双击new输入引脚编号start compilation。6、 程序下载:toolprogammerhandware setupadd handwarebyteblasterMV or byteblaster closestart。2.5 数码管和EDA实验箱简介2.5.1 数码管简介数

23、码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(多一个小数点显示);按能显示多少个“8”可分为1位、2位、4位等等数码管;数码管由8个发光二极管构成,可以用来显示数字、字符等。它在家电及工业控制中有着广泛的应用,例如用来显示温度、数量、重量、日期、时间等,具有显示醒目、直观的、成本低等优点,数码管实符号和引脚如图2-5所示:图2-5其中7个发光二极管组成“8字形状,加上小数点总共8个二极管,这些段分别用a、b、c、d、e、f、g、dp来表示,COM引脚为公共端,用来控制数码管显示的打开或关闭,即起到使能作用,数码管内部结构如图2-6所示:图2-6按发光二极管单

24、元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM接到高电平,数字电路中所谓的高电平是指该点对地的电压高于4.5V而小于或等于5V,低电平则为0V到2.5V之间。当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。2.5.2

25、EDA实验箱简介ACEX1K30TC144-3实验箱采用贴片元件、插接、集成芯片等元件组成,接口丰富,功能强大,不但具备一般开发板的普通接口如串口、按键、指示灯等,还设计了高级接口如PS/2、VGA接口等,并且专门设计了实验接口区,使用者可根据自己的需要向本系统添加电路,方便了用户的实验。最为重要的是,此实验箱考虑到初学者的经济承受力,采取了巧妙设计,为用户提供了实惠的价格完成了一些高级功能,是市面上性价比较高的产品。ACEX1K30TC144-3 FPGA实验箱结构如图2-7所示:图2-7第三章 总体方案设计和单元模块设计 第三章 总体方案设计和单元模块设计3.1 总体系统结构图根据本人对所

26、学知识的理解、掌握、应用程度和本人现能提供的电子元件或设计中需要用到的器材,此次毕业设计我选择方案二完成此次设计的任务和要求,总体系统结构框图如图3-1所示:直流稳压电源220V计数显示FPGA顶层图路灯开关控制小信号大光电转换图3-1直流稳压电源、小信号放大、路灯开关控制总电路图如图3-2所示:图3-2FPGA顶层文件原理图见附图一所示。3.2 单元模块设计3.2.1 直流稳压电源模块直流稳压电源是一种将220V交流电压变成所需要的、稳定的直流电压输出的电路。直流稳压电源由降压电路、整流电路、滤波电路、稳压电路四部分构成,电路组成框图如图3-4所示:稳压电路滤波电路整流电路降压电路负载 22

27、0V图3-4降压电路的作用是将220V的交流电压变成需要大小的交流电压,整流电路的用用是将低压的交流电压经整流变成脉动的直流电压;滤波电路的作用是将脉动的直流电压滤成平滑直流;稳压电路则完成输出平滑稳定的直流电压供给负载电路。在此次设计中降压采用变压器进行降压,整流电路的原理是利用二极管的单向导电特性将大小和方向随时间变化的低压交流电变成只有大小变化而无方向变化的脉动直流,实际运用中选取四支二极管组成桥式整流器或集成的桥堆进行整流,具有利用率高、纹波小等特点。滤波电路常用的有电容滤波、电感滤波和复合滤波电路,电容滤波是利用电容器两端的电压不能突变和存储电能的作用,电感滤波是利用电感中电流不能突

28、变的原理,而复合滤波电路则是将两者都包括进来,具有还好的滤波效果。稳压电路是不论电网电压或负载发生变化时能基本保持输出电压稳定不变。直流稳压电源电路图如图3-5所示,220V市电交流电压经过变压器T1降压后输出18V的低压交流电压,然后在经过四支二极管组成的桥堆进行整流输出只有大小变化而无方向变化的脉动直流,在经过由C2、C7组成的滤波电路得到较平缓的电流电压,经过KA7812A的稳压,三端稳压器的最输出电流可达1A但要加上散热片,C5、C6的再次滤波最后输出稳定的直流电压,D2为保护二极管,保护三端稳压器以免电容C5、C6的反向充电电压过高形成灌电流损坏三端稳压器。根据设计要求直流稳压电路输

29、出12V稳定直流电压则三端稳压器的输入电压应大于12V,变压器T1的输出电压U1=18V,经过整流滤波后的输出电压:在实用中为使输出电压满足需要,一般要求RLC的值足够大全波整流通常取:其中为负载的等效阻值,为电容的大小,滤波电容要求电容的值越大越好。全波整流电容滤波二极管所能承受的最高反向工作电压:二极管的平均电流为:为流过负载的总电流,因负载的阻值不确定,所以暂定。综上所推理直流稳压电路中各元件的取如下:T1用输出电流较大的E型电源变压器如DB202,整流二极管选用IN4001IN4007均可,滤波电容C2选用耐压值2550V,容量为1000uF或2200uF,C5选用耐压值2550V,容

30、量为220 uF即可,C6、C7为滤除电流中高频成分选用瓷片电容或,独石电容,云母电容等均可。图3-53.2.2 三端稳压器介绍三端稳压器,主要有两种,一种输出电压是固定的,称为固定输出三端稳压器,另一种输出电压是可调的,称为可调输出三端稳压器,在线性集成稳压器中,由于三端稳压器只有三个引出端子,具有外接元件少,使用方便,性能稳定,价格低廉等优点,因而得到广泛应用,固定三端稳压器的图形如右图所示。固定三端稳压器的通用产品有78系列(正电源)和79系列(负电源),输出电压由具体型号中的后面两个数字代表,有5V,6V,8V,9V,12V,15V,18V,24V等档次。输出电流以78(或79)后面加

31、字母来区分L表示0.1;AM表示0.5A,无字母表示1.5A,如78L05表求5V 0.1A,另外一般在三端稳压器的输入输出端接一个二极管, 用来防止输入端短路时,输出端存储的电荷通过稳压器,而损坏器件。可调三端稳压器也分为输出正电压(CW317)和输出负电压(CW337)两个系列,它的三个端子分别为输入端、输出端、调整端。应用特点是在调整端外接一个固定电阻和一个电位器,调节电位器就可实现输出电压调节,得到所需要的输出直流电压,一般输出电流不小于5mA,输入电压范围在340V之间,输出电压可调范围为1.2537V,三端稳压器的电路符号和图形如图3-6所示:3.2.3 三极管小信号放大电路模块光

32、电转换选用光敏电阻为核心元件,其特点是光照增强时,电阻减小,光照减弱时,电阻增大,从而改变加在光敏电阻上的电压,完成光电转换过程。三极管小信号放大电由三极管和电阻构成,采用分压稳定偏置电路如图3-7所示:图3-7R2等效为一个光敏电阻,Q1是此电路的核心元件,起放大作用,此电路是共集电极路也叫作射极跟随器,具有使输出电压稳定,放大电流的作用。直流电源VCC给放大电路提供能量,R1、R(R=R2/R3)为基极上、下偏置电阻,R2为保证不论R5的值在处于临界状态时三极管的be之间不被短路,R6为集电极偏置电阻,VCC和R使三极管发射结处于正向偏置,R1为三极管基极提供合适的偏置电流。VCC和R6使

33、三极管集电极反向运用,这样三极管工作在放大状态,同时R6把集电极电流Ic的变化变成集电极与发射极之间的电压Uce的变化,R3为发射极电阻。为使电路处于放大状态必须满足:1、 必须使放大器件处于合适的直流工作状态,即就保证三极管的发射结正向偏置,集电极反向偏置。2、 必须保证放大电路有合适的交流工作条件,输入信号能够加到放大管的输入端,放大电路的电压或电流能够尽可能的送至负载。3、 必须合理选择放大电路元件的参数。因电路要工作在放大状态,根据使电路处于放大状态的3个条件可知三极管的UcUbUe,当光敏电阻自身的阻值为14K左右时电路处于放大状态,所以人为规定R2=100K,则放大电路中各元件的值

34、可由以下公式算出:三极管处于放大时Ube0.7V,R=R5/R2=15K 可得R1UbUe,当光敏电阻的阻值为14K左右时三极管放大电路触发,处于放大状态。3.2.4 三极管开关电路及控制电路模块从小信号放大电路输出的控制电压控制三极管Q2的工作状态,因要求Q2工作在开关状态才能起到控制作用,所以要求小信号放大电路的输出电压、电流幅值较大,因为设计中使用的小信号放大电路是典型的共集电极放大电路(又叫电压跟随器或射随器),从IO1输出的电压和电流经过R4电阻加到三极管Q2的基极从而起到控制Q2导通和截止的作用。当Q2导通时继电器K1、K2的线圈同时上电,根据电磁感应原理将电压转化成磁能吸合衔铁,

35、继电器的常开触点吸合,常闭触点断开,使路灯供电电路形成回路,路灯发光起到照明作用,同时en和countclk输出5V控制电压,反之路灯熄灭,en和countclk输出0V。二极管D3与K2线圈并联,D5与K1线圈并联,因继电器中的线圈可看作是一个电感线圈,当K1、K2突然断开时线圈中的电流不能突变而K1、K2线圈自身还会产生一个很大的电动势会击穿Q2等元件,因此在并上D3、D5后K1、K2断电瞬间产生的感应电动势经过D3、D5又重新加到K1、K2两端中和掉K1、K2自身产生的电动势,起到保护电路的作用。此模块中12V的直流电压先经过三端稳压器U1稳压后,再经过C8、C10滤波后得到5V的直流电

36、压,考滤到电容的储能的作用会增大设计结果的误差,所以将5V直流电源放在控制回路之前。其中en作为统计路灯当前一次的连续开启时间的使能信号,countclk作为统计路灯的开启次数的脉冲(countclk、en可互换)。D4起保护三端稳压器的作用,以免电容充电电压过高形成灌电流损坏三端稳压器,三极管开关电路及控制电路如图3-8所示:图3-83.2.5 电磁式继电器介绍电磁式继电器一般由铁芯、线圈、衔铁、触点簧片等组成的。只要在线圈两端加上一定的电压,线圈中就会流过一定的电流,从而产生电磁效应,衔铁就会在电磁力吸引的作用下克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触(常闭触点)点(常开触点

37、)吸合。当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回原来的位置,使动触点与原来的静触点吸合。这样吸合、释放,从而达到了在电路中的导通、切断的目的。对于继电器的“常开、常闭”触点,可以这样来区分:继电器线圈未通电时处于断开状态的静触点,称为“常开触点”;处于接通状态的静触点称为“常闭触点”。电磁式继电器主要参数:1、额定工作电压:是指继电器正常工作时线圈所需要的电压,也就是控制电路的控制电压。根据继电器的型号不同,可以是交流电压,也可以是直流电压。 2、直流电阻:是指继电器中线圈的直流电阻,可以通过万能表测量。 3、吸合电流:是指继电器能够产生吸合动作的最小电流。在正常使用时

38、,给定的电流必须略大于吸合电流,这样继电器才能稳定地工作。而对于线圈所加的工作电压,一般不要超过额定工作电压的1.5倍,否则会产生较大的电流而把线圈烧毁。 4、释放电流:是指继电器产生释放动作的最大电流。当继电器吸合状态的电流减小到一定程度时,继电器就会恢复到未通电的释放状态。这时的电流远远小于吸合电流。 继电器测试:1、测触点电阻:用万能表的电阻档,测量常闭触点与动点电阻,其阻值应为0,而常开触点与动点的阻值就为无穷大。由此可以区别出那个是常闭触点,那个是常开触点。 2、测线圈电阻:可用万能表R10档测量继电器线圈的阻值,从而判断该线圈是否存在着开路现象。 3、测量吸合电压和吸合电流:找来可

39、调稳压电源和电流表,给继电器输入一组电压,且在供电回路中串入电流表进行监测。慢慢调高电源电压,听到继电器吸合声时,记下该吸合电压和吸合电流。4、测量释放电压和释放电流:当继电器发生吸合后,再逐渐降低供电电压,当听到继电器再次发生释放声音时,记下此时的电压和电流即为释放电压和释放电流,继电器的电路符号、实物及接法如图3-9所示:图3-93.2.6 路灯连续开启时间模块记录路灯连续开启时间和显示共由为4个小模块组成,分别是分频、秒、分、时。分频模块的作用是输入一个很高的频率经过分频之后输出后续设计中所需要的各种频率,减少设计的输入端口,本次设计中的分频模块是输入1KHZ的频率经过模块内部的程序处理

40、后输出20HZ和1HZ的频率,其中20Hz的频率供扫描译码模块正常工作,1Hz的频率供给秒计数器进行计数,clk1K为1KHz的输入端频率,经分频模块后从clk20H和clk1H输出端口输出20Hz和1Hz的频率,分频模块如图3-10所示:图3-10秒计数器模块中en是使能端,当en为高电平时计数器计数,en为低电平时计数器清0;clk1h为1Hz频率的脉冲输入端口,miaogewei3.0、miaoshiwei3.0为秒计数模块结果的个位和十位的输出端口,输出分别为4位二进制数,miaojinwei为当秒计数模块的进位输出端口,当秒计数模块的个位计数到1001即十进制的9时,秒计数模块的十位

41、计一个数,当秒个位计到1001秒十位计到0101即十进制数59时miaojinwei输出端产生一个脉冲给分计数模块同时秒计数模块清0,秒计数模块如图3-11所示:图3-11分计数器模块中en为使能端,当en为高电平时计数器计数,en为低电平时计数器清0;miaojinwei为秒计数模块产生的进位脉冲输入端作为分计数模块的计数频率使分计数模块计数,fengewei3.0、fenshiwei3.0为分计数模块计数结果的个位和十位输出,计数结果为4位二进制数,fenjinwei为分计数模块的进位输出端,当分计数模块的个位计数到1001即十进制的9时,十位计一个数,当个位和十位都计数到1001和010

42、1即十进制数59时fenjinwei端产生一个进位脉冲给时计数模块同时分计数模块清0,分计数模块如图3-12所示:图3-12时计数模块中en同为使能端控制时计数模块的计数与否,当en为高电平时计数器计数,en为低电平时计数器清0(数字电路中高电平用1表示,低电平用0表示),fenjinwei是分计数模块中的进位脉冲的输入端为时计数模块提供计数频率,shigewei3.0、shishiwei3.0分别是计时模块个位和十位的计数结果输出,时计数模块如图3-13所示:图3-133.2.7 统计路灯开启次数和扫描显示模块统计路灯开启次数模块,clk1h为来自三极管控制电路countclk输出端的肪冲,

43、来一个上升沿程序记一个数,countge3.0、countshi3.0为该模块计数结果输出端,输出为4位二进制数,最大计数结果个位为1001十位也为1001即十进制数99,计数模块如图3-14所示:图3-14扫描译码显示模块包括译码和动态扫描输出,译码的作用是将秒、时、分计数模块的计数结果的个位和十位输出的4位二进数经过程序处理后由公共端duanman6.0输出十进制BCD码,在位数上将4位译码成8位(不算小数点7位),clk为数码管的扫描频率,m13.0、m23.0m63.0分别是秒、分、时计数模块的个位计数和十位计数的输入端,wei5.0为七段数码管的位选开关,扫描模块如图3-15所示:图

44、3-15此模块支持数码管动态显示,数码管的显示方式分为静态显示的动态显示,动态显示就是一位一位的地轮流点亮各数码管,对于每一位数码管来说每隔一段时间点亮一次。动态显示的硬件接法是将所有数码管的段选线并在一起,接到一个8位的I/O接口上,而位选线则分开接到各自的控制I/O线上,由于在同一时刻只有一个数码管显示,即在此时刻内只有一位选线有效,可通过程序或硬件电路控制各数码管在一个显示周期内分别显示一段时间,当一个显示周期足够短时由于人眼的视觉暂留性使人感觉每个数码管都有在发光,这就是动态扫描显示方式,动态显示具有 简化电路,降低成本等特点。第四章 系统调试 第四章 系统调试4.1 各单元电路测试直

45、流稳压电源电路测试结果如图4-1所示,输出电压为12.573V满足设计要求。图4-1三极管小信号放大电路测试,当光敏电阻自身阻值为14K左右时三极管处于放大状态此时三极管C、B、E三极的电位应满足UcUbUe,电路测试结果如图4-2所示,从图中可得Uc=11.412V,Ub=3.135V,Ue=2.375V满足UcUbUe。图4-2三极管开关控制电路测试,当小信号放大电路处于放大状态时产生控制电压控制电路触发,从下面两幅图中我们能够很直观的看到当控制电路触发和没有触发时的两种状态的现象,触发时继电器常闭触头断开,常开触头闭合,路灯回路接通,路灯亮,同时countclk和en输出5V的稳定直流电

46、压,现象如图4-3所示。反之,没有触发时路灯熄灭,countclk和en输出0V电压,现象如图4-4所示。控制触发时的现象及输出电压的值:图4-3没有触发时的现象和输出电压值:图4-44.2 程序仿真分频模块的波形如图4-5所示,其中clk1KHz为1KHz频率的输入端口, clk20H和clk1H是分频后频率的输出端。20Hz和1Hz是1KHz频率分频后得到的频率,clk20Hz是双向端口即同为输出端口也为输入端口,20Hz是1KHz频率经过50分频得到的,1Hz的频率是20Hz的频率再经过20分频后得到,从图中我们能够很直观的看到分频的效果。图4-5秒计数器模块的波形如图4-6所示,en为

47、使能端,clk1h是1Hz频率的输入端,miaogewei为分计数模块的个位计数输出端,miaoshiwei为十位计数输出端,miaojinwei为进位输出端。从仿真的波形中我们可以看出当en为高电平clk1秒脉冲上升沿来时,秒个位计数,当秒个位计数计到9时,秒十位加1同时秒个位清0,当秒个位计数到9秒十位计数到5时即59秒,秒进位端产生一个进位脉冲加到分计数模块,当使能端en为低电平时不管外部条件计数结果立即清0,当1秒脉冲恒为高电平或恒为低电平时秒计数结果均保持。图4-6分计数模块的波形中en为使能端,miaojinwei是秒计数模块产生的进位信号的输入端,fengewei为分计数模块的个

48、位计数输出端,fenshiwei为十位计数输出端,fenjinwei为进位输出端。当使能端en为高电平miaojinwei脉冲上升沿来时分个位计数,当分个位计数计到9时分十位加1同时分个位清0,当分个位为9分十位为5即59分时分进位端产生一个进位脉冲加到时计数模块。当使能端为低电平时计数结果立即清0,miaojinwei脉冲恒为高电平或低电平时分计数结果均保持,分计数模块波形如图4-7所示。图4-7时计数模块中en为使能端,fenjinwei是分计数模块产生的进位信号的输入端,shigewei为时计数模块的个位计数输出端,shishiwei为十位计数输出端。从仿真波形中可以看出en为高电平fe

49、njinwei脉冲上升沿来时,时个位计数,当时个位计数计到9时,时十位加1同时时个位清0,当时个位为3时十位为2时,时计数模块清0。当使能端为低电平时计数结果清0,当fenjinwei脉冲恒为高电平或低电平时时计数结果均保持,波形如图4-8所示。图4-8统计路灯开启次数模块波形如图4-9所示,clk1h是模拟电路控制输出端countclk的脉信号,countge为统计路灯开启次数的个位计数,countshi为十位计数。当clk1h恒为高电平或低电平时计数结果均保持。图4-9第五章 设计总结在本次毕业设计中我的设计题目是路灯控制器的设计与制作,我选择方案二即模拟电路与可编程逻辑器件组合完成本次设

50、计的任务和要求,设计中也遇到不少的困难和疑惑,特别是在电路仿真和程序仿真。在此本人举两例加以说明:第一,在分频程序波形仿真时遇到很大困难,先首是在符合VHDL语言语法之下按照本人逻辑思维编写出程序并通过编译,本以为就万事大吉了,可在波形仿真结束后却不见波形出来,经过反复检查程序语法,改进设计思维,查找相关资料,修改Quartus软件参数等,历尽千幸万苦终于有了波形。第二,在三极管小信号放大电路设计中各元器件参数的计算,先首用论理公试按照设计要求计算出各元件的值,然后在Multisim7仿真软件中仿真,其仿真结果与理论计算值存在很大差异,经过调整软件参数,寻问老师等,最终使得仿真结果与理论值近似

51、,在解决困难和疑惑中的艰辛难以用语言表达出来。通过此次设计,我深深地感触到基础知识的重要性,任何细节都离不开基础知识的指导,设计中任何细节都不能忽略,它很可能就是导致你设计成败的关键。设计需要有相关扎实的基础知识了,还要有一定的实际动手能力。此外,通过此次设计巩固和拓展我以前所学的知识,明白自己有许多方面的缺点和不足。这次设计给我最大的启示:态度决定高度,细节决定成败由于本人的水平有限,毕业论文中存在缺点和不足之处,敬请老师和同学给以指证。致谢致 谢日月如梭,转眼就要告别我的大学生涯,闭上眼,3年的大学生活历历在目。3年前刚踏进校门的那一刻,我还是一个不知世故的懵懂少年,3年的大学生活,让我收

52、获知识,收获成绩,更让我懂得了如何做人。感谢我的父母,在我的成长和求学过程中,父母不仅在经济上承受着巨大的负担,心里更有思子之情的煎熬与望子成龙的期待,每次回到家时父母的欣喜之情和每次离家时父母依依不舍的情形与嘱咐,皆使我刻苦铭心。我的父母,你们幸苦了。感谢秦祖铭老师,我很荣幸能得到秦老师的精心指导,使得我的毕业设计能按时、保质的完成,圆满结束了我三年的大学生活。感谢所有的老师,是你们传授我知识,给我帮助和鼓励,教会我如何做人、如何做事,谢谢你们,你们幸苦了。感谢所有的同学,三年我们一起学习,平时各位同学的喜、怒、哀、乐都令我一生难忘,现在回想起来,认识各们同学都是我一生的荣幸,在未来的工作和

53、生活中,我期望我们仍将一路同行。最后,就让我们把这充实而又充满意义的3年放进回忆。眼前,一条新的道路已在脚下,抓住机会,放眼未来,让我们在社会的大浪中去磨练自己,实现自己的人生理想。我再次深深感谢所有的人,祝你们身体健康,生活愉快。参考文献1 刘慰平主编.模拟电子技术基础.北京:北京理工大学出版社,20082 向守兵,马康波主编.实用电子技术教程.电子科技大学出版社,20073 华成英主编,模拟电子技术基本教程M.第一版.北京:清华大学出版社,20064 张国华主编.电子元器件使用.北京:中国电力出版社,20095 潘松,黄继业主编.EDA技术实用教程.第三版.北京:科学出版社,20066 史

54、小波,程梦蟑,许会芳编著.集成电路设计和VHDL教程.北京:清华大学出版社,2005.67 潘松,赵敏笑编著.EDA技术及其应用.北京:科学出版社,2007附录附 录FPGA顶层文件原理图附图一分频程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport( clk1k :in std_logic; clk20H:buffer std_logic; clk1H :out std_logic );end;architecture feature of fenpin

55、isSignal count:std_logic_vector(5 downto 0);signal count2:std_logic_vector(4 downto 0);begin process(clk1k,count)beginif clk1kevent and clk1k=1 then count=count+1; if count=110010 then clk20H=1; count=000000; else clk20H=0;end if;end if;end process;process(clk20H)beginif clk20Hevent and clk20H=1 the

56、n count2=count2+1;if count2=10100 then clk1h=1; count2=00000; else clk1h=0; end if;end if;end process;end;秒计数程序(分计数、时计数计数原理一样在此只以秒计数程序为例)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity miao isport(en,clk1h:in std_logic; miaojinwei:out std_logic; miaogewei:out std_logi

57、c_vector(3 downto 0); miaoshiwei:out std_logic_vector(3 downto 0) );end;architecture functions of miao issignal gewei:std_logic_vector(3 downto 0);signal shiwei:std_logic_vector(3 downto 0);begin process(clk1h,en,gewei,shiwei)begin if en=0 then gewei=0000; shiwei=0000; elsif en=1 then if clk1hevent

58、and clk1h=1 then gewei=gewei+1; if gewei=1001 then shiwei=shiwei+1; gewei=0000; if shiwei=0101 and gewei=1001 then gewei=0000; shiwei=0000; miaojinwei=1; else miaojinwei=0; end if; end if;end if;end if;end process;miaogewei=gewei;miaoshiwei=shiwei;end;统计路灯开启次数程序library ieee;use ieee.std_logic_1164.a

59、ll; use ieee.std_logic_unsigned.all;entity count is port( clk1h:in std_logic; countge:out std_logic_vector(3 downto 0); countshi:out std_logic_vector(3 downto 0) );end; architecture functions of count is signal count1:std_logic_vector(3 downto 0); signal count2:std_logic_vector(3 downto 0);begin process(clk1h)begin if clk1hevent and clk1h=1 then count1=count1+1;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!