毕业设计(论文)模拟太阳能电源并网电路与控制部分硬件设计

上传人:1888****888 文档编号:38216074 上传时间:2021-11-06 格式:DOC 页数:31 大小:1,006.50KB
收藏 版权申诉 举报 下载
毕业设计(论文)模拟太阳能电源并网电路与控制部分硬件设计_第1页
第1页 / 共31页
毕业设计(论文)模拟太阳能电源并网电路与控制部分硬件设计_第2页
第2页 / 共31页
毕业设计(论文)模拟太阳能电源并网电路与控制部分硬件设计_第3页
第3页 / 共31页
资源描述:

《毕业设计(论文)模拟太阳能电源并网电路与控制部分硬件设计》由会员分享,可在线阅读,更多相关《毕业设计(论文)模拟太阳能电源并网电路与控制部分硬件设计(31页珍藏版)》请在装配图网上搜索。

1、摘要模拟太阳能电源并网电路与控制部分硬件设计摘 要 太阳能作为一种高效无污染的新能源,一种未来世纪常规能源的替代品。目前,太阳能并网发电是太阳能光伏的重要应用之一,其系统包括光伏电池、变换器、蓄电池、控制器四大部分。该设计从实验的角度,对光伏并网发电系统进行模拟,主要由DCAC变换电路、控制电路、驱动保护电路、采样电路、工频变换电路等五部分组成。基本思路是在单片机C8051F020控制作用下采用正弦波脉宽调制技术(SPWM)对系统进行控制,主电路采用MOSFET为主要元器件的单相桥式逆变电路,经滤波电路滤波后变压进行输出。基于此,本设计采用单片机本身的PCA模块,定时器模块,完成相应的控制功能

2、,使光伏发电频率紧跟模拟电网频率,同时实现光伏最大功率跟踪,系统具有欠压保护、过流保护以及频率和相位跟踪等功能,并在欠压、过流故障排除或能自动恢复正常状态。该系统性能相对稳定,能够满足本次设计的需要。关键词:光伏并网 DCAC逆变电路 SPWM 最大功率点跟踪IAbstractThe Hardware Design Of Solar Power Combined To The Grid And ControledAbstractSolar energy as the one kind of efficient pollution-free new energy, a future centur

3、y of conventional energy sources substitutes. At present, the solar energy grid generation is one of the important application of solar photovoltaic battery, the system includes, converter, batteries, controller four most. This design, from the point of view of experiment of photovoltaic (pv) grid p

4、ower system is simulated by DC-AC conversion - main circuit, controlling circuit, drive protection circuit, sampling circuit, industrial frequency transform circuit composed to five parts. The basic idea is C8051F020 SCM control action in the sine pulse-width modulation technology (SPWM) the system

5、is controlled, main circuit adopts MOSFET as the main components of single-phase bridge type inverter circuits, the filter circuit for output variable pressure filtered. Based on this, this design USES the microcontroller itself, timer modules of PCA module, completing the corresponding control func

6、tion, make photovoltaic power frequency follows grid frequency, but also achieve simulated photovoltaic maximum power tracking, system has low voltage protection, over-current protection and frequency and phase tracking, etc., and in less pressure, flow troubleshooting or can automatically resume no

7、rmal state. This system performance relative stability, can satisfy the need of this design. Keywords: photovoltaic (pv) grid DC - AC inverter circuits SPWM maximum power point trackingII目录目录 摘 要IAbstractII1 绪论11.1前言11.2课题研究的意义11.3国内外研究现状21.3.1光伏发电系统分类21.3.2光伏并网发电系统研究现状31.3.3最大功率点跟踪研究现状41.3.4 EDA技术6

8、1.4课题的主要研究内容71.5课题研究的重难点71.5.1课题研究的重点71.5.2 课题研究的难点71.6论文的结构安排82 设计方案进行论证92.1设计思路92.2 DC-AC 变换器92.3控制方法及实现方案102.4最大功率点的跟踪113 芯片介绍123.1主控芯片C8051F020123.2 驱动芯片IR2110154 系统硬件原理设计184.1最大功率追踪电路184.2 DC-DC升压电路184.3频率相位跟踪电路194.4 DCAC变换电路204.5驱动电路214.6 滤波模块的设计214.7欠电压保护和过电流保护的设计224.8控制回路电源的设计234.8.1 AS1117介

9、绍234.8.2硬件原理图244.9键盘输入电路255 结论26参考文献27致 谢28毕业设计(论文)知识产权声明29毕业设计(论文)独创性声明30IV绪论1 绪论1.1前言21世纪,人类将面临着实现经济和社会可持续发展的重大挑战。在有限资源和保护环境的双重制约下能源问题将更加突出,这主要体现在:能源短缺;环境污染;温室效应。因此,人类在解决能源问题,实现可持续发展时,只能依靠科技进步,大规模地开发利用可再生洁净能源。太阳能具有储量大、普遍存在、利用经济、清洁环保等优点,因此太阳能的利用越来越受到人们的广泛重视,成为理想的替代能源。文中阐述将太阳能电池板产生的直流电直接转换为220V/50Hz

10、的工频正弦交流电输出至电网。 1.2课题研究的意义当今世界,传统化石能源正走向枯竭,且环境污染问题也日益严重,新能源和可再生能源的利用已经成为世界各国未来能源战略的重要组成部分。开发利用新能源和可再生能源,增加能源供给,促进节能降耗,保障能源安全,减少温室气体排放,发展低碳经济是实现经济和社会可持续发展的需要。太阳能作为新型环保能源,具有地域分布广阔、资源丰富、清洁无污染等特点,成为解决电能匮乏的新途径。太阳能作为一种巨量的可再生资源,每年到达地球表面的辐射能量相当于数亿万桶石油燃烧的能量。根据欧洲、日本等能源机构预测,2020年,光伏发电将占到全球发电量的1%,2040年将占到全球发电量的2

11、1%,2050年,太阳能将成为全球主要替代能源之一。我国有十分丰富的太阳能资源。据估算,陆地表面每年接收的太阳辐射能约为51022焦耳,约相当于17000亿吨标准煤。太阳能发电技术的开发和利用主要是光伏发电,其用户分布在工业和商业利用、边远地区供电和城市照明电源等。2005年我国光伏发电装机容量达到7万千瓦以上,主要为边远地区的居民供电。其中边远地区居民供电站50%以上,每年的增长速度在20%以上。除了在边远无电地区得到应用外,十五期间也开展了并网屋顶光伏发电的示范,取得了一定的技术和工程经验。光伏发电在工业和商业利用领域也有稳定的市场,城市照明灯具的年生产量超过了10兆瓦,占世界市场的70%

12、。272005年我国光伏电池的制造能力已超过10万千瓦,生产企业有10多家,近两年,国际市场,尤其是在德国和日本极为优惠的经济政策的激励下,光伏市场需求强劲,我国的光伏产品也大量出口,刺激了国内产业建设的热潮,目前还有几条万千瓦级的组件生产线正在建设之中。与其他发电方式相比,目前的光伏发电的成本还是很高,因此,考虑到经济成本和支持我国光伏产业持续发展的需要,我国的光伏发电应采取稳步发展的原则和策略。十一五期间,我国的光伏发电系统的应用一方面以采用用户发电系统和建设小型光伏电站为主,来解决偏远地区无电村和无电户的供电问题,建设了光伏发电20万千瓦,为200万户偏远地区农牧民提供了最基本的生活用电

13、。另一方面,借鉴发达国家发展屋顶系统的经验,在经济较发达、城市现代化水平较高的大中城市,在公益性建筑物和其他建筑物以及在道路、公园、车站等公共设施照明中推广使用光伏电源,到2010年已经建设总容量5万千瓦的屋顶光伏发电项目。此外,还将开展大型并网光伏系统的示范,为在光伏成本下降到一定水平时开展大型并网光伏系统的大规模应用作准备。十一五末期,光伏系统的这三个方面的应用总量达到约40万千瓦。2010年是中国太阳能光伏发电产业处在结构调整、产业升级阶段;也是中国太阳能光伏发电产业步入“十二五”规划承上启下的关键一年。2010年,中国光伏电池产量达到8000兆瓦,约占全球总产量一半,居世界首位;光伏发

14、电市场装机量预计达380兆瓦,占全球总装机的3%。 “十二五”是我国能源发展的关键时期,在我国战略性新兴产业政策的激励下,我国光伏市场前景广阔。在一系列国家政策的支持和引导下,我国光伏市场将迎来新一轮增长期。综上所述,我国光伏并网发电取得了一定的成绩,主要体现在光伏产品生产方面。但总体来看,尚处于起步阶段,还出来光伏发电成本高、发电不连续的特点,与世界发达国家的利用规模和技术水平相比,还有很大的差距。因此,国内对光伏发电技术和设备制造的研发对提高我国光伏发电水平具有重要意义。1.3国内外研究现状1.3.1光伏发电系统分类1. 独立发电系统 光伏独立发电系统主要解决偏远的无电地区和特殊领域的供电

15、问题,且以用户及村庄用的中小系统居多。随着电力电子及控制技术的发展,光伏独立发电系统从早期单一的直流供电输出发展到现在的交、直流并存输出。光伏独立发电系统由光伏电池阵列、充电控制器、蓄电池组、正弦波逆变器等组成,其工作原理为:光伏电池将接收到得太阳辐射能量直接转换成电能供给直流负载或通过正弦波逆变器变换为交流电供给交流负载,并将多余能量经过充电控制器后以化学能形式存储在蓄电池中,在日照不足时,存储在蓄电池中的能量经变换后供给负载。2. 并网发电系统 光伏并网发电系统可分为两种,一种为不含蓄电池储能环节的“不可调度式光伏并网发电系统”,另一种为含蓄电池组的“可调度式光伏并网发电系统”。不可调度式

16、光伏并网发电系统中,当电网正常时,并网逆变器将光伏电池产生的直流电能直接转化成与电网电压同步的交流电馈入电网,当主电网断电或无光照时,系统自动停止向电网馈电。可调度式光伏并网发电系统一般由充电控制器和并网逆变器构成,在有光照的情况下,充电控制器将光伏电池采集的电能存储在蓄电池中;无光照时,充电控制器停止工作,同时并网逆变器根据需要,决定是否将存储在蓄电池组中的电能馈入电网。可调度式并网系统在功能上虽然优于不可调度式并网系统,但是由于增加了蓄电池储能环节,带来了若干严重的缺点:蓄电池组有寿命问题、价格较贵、体积笨重。正是由于上述缺点的存在,使得可调度式并网系统的应用规模难以与不可调度式并网系统相

17、比,目前大部分光伏并网发电系统能采用不可调度式并网结构。1.3.2光伏并网发电系统研究现状光伏并网发电系统的核心是并网逆变器,可以分为电流型和电压型两大类。电流型的特征是直流侧采用电感进行直流储能,从而使直流侧呈现高阻抗的电流源特征。光伏并网系统从结构上还可以分为高频和工频两种。工频并网逆变器首先通过DC/AC变换器将光伏电池输出的直流电能转换为交流电能,然后通过工频变压器和电网相连,完成电压匹配以及与电网的隔离,实现并网发电。(本课题研究的就是此种形式。)工频并网逆变器由于带有工频变压器,存在体积大、效率低、成本高等缺点。高频并网逆变器首先通过DC/DC变换器将光伏电池输出的直流电压进行电压

18、等级变化及稳压,然后通过DC/AC逆变器直接和电网相连,将能量馈入电网。有高频变压器隔离并升压。其优点是省去了体积庞大的工频逆变器。主要缺点:采用了两级拓扑效率有所降低,特别是DC/AC环节包括高频逆变以及高频整流两个环节,多级管压降使得效率进一步降低。并网逆变器作为光伏发电系统和电网的接口设备,其控制目标是实现正弦电流输出,使其工作在单位功率因数并网模式。并网逆变器输出电流波形直接影响到光伏发电系统的供电质量,因此,并网逆变器输出电流控制策略成为光伏发电系统研究热点之一。现有的控制方法有PI控制、滞环电流控制、空间矢量控制(SVPWM)、无差拍控制、重复控制、比例谐振控制等。PI控制具有算法

19、简单、可靠性高、开关频率固定、易于设计等特点,是目前最常有的控制方法之一。但是常规的PI控制在开关频率不够高的情况下,电流动态响应相对较慢,相对于正弦参考电流存在一定偏差,并且在输出功率变化或电网电压波动时难以快速跟踪参考电流,从而造成并网电能质量下降。采用电网电压前馈和电流跟踪控制技术,利用前馈补偿有效抑制电网波动,提高系统的抗扰动性,取得了良好的效果。滞环电流控制根据瞬时值电流偏差来决定功率器件的开关状态,虽然存在开关频率不固定的缺点,但是具有峰值自动限制能力、电流跟踪精度高、动态响应快、不依赖于负载参数以及稳定性高等优点。空间矢量控制方法同坐坐标变换,将三相电流变换到与基波频率同步旋转的

20、两相坐标系,稳态时三相正弦电流变成了直流量,而PI调节器对直流信号的放大倍数为无穷大,电流稳态跟踪误差接近于零,可以实现电流的无差跟踪控制,因此该方案可以获得高功率因数低谐波的三相并网电流,已广泛用于三相并网系统。无差拍控制是一种基于被控对象精确数学模型的数字控制方法,具有动态性能好,控制过程无过调等优点。但控制方法也存在着 要求脉宽必须当拍计算当拍输出,存在算法复杂,采样频率高等缺点。重复控制是一种基于内模原理的控制方法,把作用于系统外部的信号模型植入系统控制器内部以构成高精度反馈控制。重复控制能在较低采样频率下提供高质量的稳态波形,消除周期性干扰产生的稳态无差,其缺点是动态性能较差。光伏并

21、网发电系统在一般情况下只提供电网有功电能,并保证其具有较高的功率因数,而负载的无功电能一般由电网提高或由专用的无功补偿设备提供。当日照强度很低甚至夜晚时,光伏电池实际上丧失了输出能力。这样一来,不仅在系统关闭时整套设备处于闲置状态,而且频繁的并网与解列动作造成系统控制困难,部分设备损耗增加且使用寿命变短。针对上述问题,一些学者提供了光伏并网发电与无功补偿的统一控制方法,当光伏电池输出能量时,并网逆变器将直流电变换成交流输送到电网上,同时有选择地对电网进行无功补偿:当光伏电池停止输出时,并网逆变器单独对电网进行无功补偿。这样可以实现一套装置的过功能使用,既改善了电网的电能质量,又提高了整个系统的

22、利用率,可以有效提高电网末梢供电质量。1.3.3最大功率点跟踪研究现状要想提高光伏系统的整体效率,一个重要的途径就是实时调整光伏电池的工作点,使之始终工作在最大功率点附近,这一过程称之为最大功率点跟踪(aximum Power Point Tracking,MPPT)。目前,光伏发电系统的应用日渐增多,但系统造价仍居高不下,转换效率也很低,因此,通过控制光伏电池的输出功率,使光伏电池按MPPT工作,提高系统效率,从某种意义上说相对地降低了系统成本,从而可以促进光伏产业的发展。下面针对目前较常见的MPPT控制方法进行详细介绍。1. 扰动观察法(Perturb and observe) 扰动观察法

23、也称之为爬上法,是目前最常用的MPPT控制方法,它给变换器叠加一个扰动量,通过检测并计算光伏电池输出功率的变化情况进行最大功率点跟踪。扰动观测法具有算法简单,实现方便,可以实现最大功率点的动态跟踪。但是扰动观测法在跟踪稳定时,只是在最大功率点附近振荡运行,从而降低了系统效率。2. 增量电导法(Incremental Conductance) 由光伏电池输出特性可知,在光伏电池最大功率点处得电导为零,左侧电导为正,右侧为负,其表达式如下: MPP处 MPP左侧 (1.1) MPP右侧通过简单的数学推导可得: (1.2)将式(1.2)代人(1.1)得: MPP处 MPP左侧 (1.3) MPP右侧

24、因此,以式(1.3)作为判断光伏电池是否工作在最大功率点的依据并对系统进行相应的控制,则可以实现最大功率跟踪。增量电导法具有控制稳定度高,当外部环境参数变化时系统能平稳地追踪其变化,并且与光伏电池组件的特性及参数无关。但是,增量电导法存在控制算法较复杂,对控制系统采样精度要求较高,控制电压初始化参数对系统启动过程中的跟踪性有较大影响等缺点。3. 开路电压法(Open-Circuit Voltage) 开路电压法是一种最简单的最大功率点跟踪法,在变化的日照强度和温度下,光伏电池的最大功率点电压和开路电压成近似线性关系,即: (1.4)式中为比例常数。由于依赖于光伏电池本身的特性,通过事先凭经验通

25、过测试不同的日照强度和温度条件下的和计算得到,一般在0.71到0.78之间。因此,开路电压法根据事先确定的,周期性切断变换器并测量当前,通过计算获得。开路电压法相隔一定周期需要切断变换器以进行开路电压测量,势必造成光伏电池暂时的功率损耗,同时该方法仅仅是对光伏电池最大功率点的一个估算,并不是真正意义上的MPPT控制技术。但是由于开路电压法不需要任何控制器就可以实现,大大降低了成本,因此在某些应用场合,这种方法基本上能满足最大功率点跟踪的要求。4.短路电流法(Short-Circuit Current) 短路电流法和开环电压法相似,在气候变化条件的情况下,光伏电池最大功率点电流和短路电流近似线性

26、变化,即:=* (1.5)其中同样由光伏电池特性决定,通常在0.78到0.92之间。在工作过程中,为了测量,需要一个额外的开关管加入到变换器中,用于周期性地短路光伏电池,这无疑增加了开关管的数目和成本,造成功率损耗。除了上述几种较常见的MPPT控制方法外,还有模糊逻辑控制法(Fuzzy Logic Control)、神经网络法(Neural Network)、直流电容下降控制法、负载电流或负载电压最大法、dP/dV或dP/dI反馈控制法、滑模控制法、状态空间模型法等。1.3.4 EDA技术电子设计自动化(Electronic Design Automation, EDA)技术是在电子计算机辅助

27、设计技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动化设计。EDA技术是现代信息技术飞速发展的关键性技术之一,在经济建设、科技工程进步以及国防现代化建设中起着根本性的推动作用。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。目前,国内常用的EDA软件主要有Multisim、PSPICE、ORCAD、Protel等,本课题设计主要用到的是Protel软件。Protel Protel是PROTEL公司在20世纪80年代末推出的CAD工具,是PCB设计者的首选软件。它较

28、早在国内使用,普及率最高,有些高校的电路专业还专门开设Protel课程,几乎所在的电路公司都要用到它。早期的Protel主要作为印刷板自动布线工具使用,现在普遍使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/Server(客户/服务器)体系结构,同时还兼容一些其它设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板的自动布线,可实现高密度PCB的100%布通率。但它最具代

29、表性的是电路设计和PCB设计。在EDA软件中,Protel软件功能强大、界面友好、使用方便,得到了广泛的应用。1.4课题的主要研究内容本课题要求用太阳能电池板模拟光伏发电;uREF 为模拟电网电压的正弦参考信号;T为工频隔离变压器,将 uF 作为输出电流的反馈信号;负载电阻RL。其结构框图如图1.1所示图1.1其设计的主要内容包括:DC-AC逆变电路的设计与驱动控制;控制回路的设计,主要包括最大功率跟踪(MPPT)方案的设计、同频控制方案设计、同相控制方案设计;滤波电路、工频变换电路以及故障排除后系统的自恢复功能设计等。1.5课题研究的重难点1.5.1课题研究的重点最大功率点跟踪的实现、DC-

30、AC逆变、由单片机C8051F020产生SPWM信号,实现频率相位跟踪功能。1.5.2 课题研究的难点1.跟踪最大功率点。2.逆变及频率相位跟踪功能。1.6论文的结构安排本论文的组织结构如下:第一章:绪论,介绍关于太阳能并网发电的开发背景、开发意义、开发者的主要工作以及课题研究的重难点。第二章:通过对其原理的分析,对设计方案进行论证以及确定合适的方案; 第三章:建立总体设计思路,对设计所用芯片介绍;第四章:系统硬件设计,说明了系统硬件电路的具体设计方案第五章:总结设计,得出结论,总结设计收获和体会,同时指出整个设计工作的不足之处和需要改进的地方。设计方案进行论证设计方案进行论证2 设计方案进行

31、论证2.1设计思路本课题是通过设计最终输出电压为220V频率为50HZ的交流电;用太阳能电池板模拟光伏发电;uREF为模拟电网电压的正弦参考信号;uF作为输出电流的反馈信号;要求系统具有最大功率点跟踪(MPPT)功能,频率、相位跟踪功能。2.2 DC-AC变换器方案一 推挽式逆变电路:结构如图2.2.1所示。假定输出电流是连续的,在开关元件VT1闭合(VT2断开)期间,io为正时,VT1导通,io为负时,VD1导通。因此,无论io的方向如何,均有uoUDn,其中n为变压器原边一半绕组与副边绕组的匝数比。同样,在VT2闭合(VT1断开)期间,uo- UDn。、图2.2.1 推挽式逆变电路方案二

32、半桥逆变电路:结构如图2.2.2所示。当一对功率开关管均截止时,若电容器C01和C02的容量相等而且电路对称,则电容中点4的电压为输入电压的一半,即VC01VC02E2。当VT1被基极驱动电路以脉冲方式激励导通时,电容C01将通过VT1和中频变压器T1的一次绕组N1放电,同时,电容C02则通过输入电源、V1和T1的一次绕组N1充电,中点A的电位在充放电过程中将按指数规律下降,在VT1导通终了时,VA将下降至E2-E;接着是一对晶体管都截止的时期,此时V CE1V C01,V CE2V C02,它们都接近输入电源电压的一半,当VT2被激励导通时,电容C01将被充电,电容C02将放电,中点的电位在

33、VT1导通终了时将增至E2+E,亦即中点A的电位在电路开关过程中将在E2的电位上以土E的幅度作指数变化。图2.2.2 半桥逆变电路方案三 全桥逆变电路:结构如图2.2.3所示。四只MOSFET 管VT1VT4分别组成两个桥臂,形成主开关桥路,RL为负载。在PWM 驱动信号的控制下,VT1、VT3与VT2 、VT4 交替通断,其通断流程如下:(1) VT1、VT3处于on(VT2、VT4 off), U+ =Ud,U-=0;UO=Ud;(2) VT2、VT4处于on(VT1、VT3 off), U+ =0,U-= Ud;UO=-Ud;(3) VT1、VT2处于on(VT3、VT4 off), U

34、+ =Ud,U-= Ud;UO=0;(4) VT3、VT4处于on(VT1、VT2 off), U+ =0,U-=0;UO=0。全桥逆变电路在相同的直流输入电压时,其最大输出电压是半桥逆变电路的两倍。这说明在输出功率相同时,全桥逆变电路的输出电流和开关元件电流均是半桥逆变电路的一半,在负载需大功率时,这是一个显著的优点。图2.2.3 全桥逆变电路综合比较,基于对电路结构和效率的考虑,我们选择方案三。2.3控制方法及实现方案方案一 用PWM专用芯片产生PWM控制信号。可采用恒频脉宽调制控制器TL494,工作频率为1300kHz,输出电压可达40V,死区时间可以调整,驱动能力较强。但需增加外围元件

35、,同时也增加了成本。方案二 用单片机产生PWM控制信号。单片机根据参考信号和反馈信号对PWM信号做出调整来输出。我们所使用的C8051020里具有12位AD和PWM功能,这样能使外围电路尽量减少,同时减小外界环境带入的干扰,便于系统集成化。这种方案较为灵活,可以针对本系统具体优化。综合考虑,在这里我们选择方案二2.4最大功率点的跟踪由于光伏阵列的最大功率点是一个时变量,可以采用搜索算法进行最大功率点跟踪。其搜索算法可分为自寻优和非自寻优两种类别。所谓自寻优算法即不直接检测外界环境因素的变化,而是通过直接测量得到的电信号,判断最大功率点的位置。典型的追踪方法有扰动观测法和增量导纳法等。增量导纳法

36、算法的精确度最高,但是,由于增量导纳法算法复杂,对实现该算法的硬件质量要求较高、运算时间变长,会增加不必要的功率损耗,所以实际工程应用中,通常采用扰动观测法算法。扰动观测法原理:每隔一定的时间增加或者减少电压,并通过观测其后功率变化的方向,来决定下一步的控制信号。其具体调整方案如下:U、I为上一次的检测值,P为对应的输出功率;U1、I1为当前检测值,P1为对应功率。对应增大参考电压会出现以下两种情况: (1)P1P,说明扰动方向正确,系统应保持原来的扰动方向; (2)P1P,说明扰动方向错误,系统需要调整扰动方向。当寻优过程处于暂态过程时,即光伏阵列输出功率与最大功率的差值较大时,则增大步长,

37、以改善动态响应特性,提高追踪速度;当寻优过程接近稳态时或输出功率的波动只由步长的大小决定时,则减小步长,以提高稳态响应品质。如此反复直到工作点接近Pmax。这是一个自寻优的过程,它的控制原则是参考电压的变化始终让电池输出功率朝大的方向改变。芯片介绍 3 芯片介绍3.1主控芯片C8051F0201ygnal C8051F020单片机特点 片内资源 812位多通道ADC 12路12位DAC 12路电压比较器 内部或外部电压基准 内置温度传感器3 16位可编程定时/计数器阵列PCA可用于PWM等 35个通用16位定时器 864个通用I/O口 带有I2C/SMBusSPI12个UART多类型串行总线

38、864K Flash存贮器 2564K数据存贮器RAM 片内时钟源内置电源监测看门狗定时器 主要特点 高速的20MIPS25MIPS与8051全兼容的CIP51内核 内部Flash存贮器可实现在系统编程即可作程序存贮器也可作非易失性数据存贮 工作电压为2.7V3.6V典型值为3VI/ORSTJTAG引脚均允许5V电压输入 全系列均为工业级芯片-45+85 片内JTAG仿真电路提供全速的电路内仿真不占用片内用户资源支持断点单步观察点运行和停止等调试命令支持存贮器和寄存器校验和修改 2.有关C8051F020 CPU 与标准8051完全兼容:gnal C8051F020单片机采用CIP51内核Cy

39、gnal专利与MCS51指令系统全兼容可用标准的ASM51Keil C高级语言开发编译C8051F020单片机的程序 高速指令处理能力 标准的8051一个机器周期要占用12个系统时钟周期执行一条指令最少要一个机器周期CygnalC8051F020单片机指令处理采用流水线结构机器周期由标准的12个系统时钟周期降为1个系统时钟周期指令处理能力比MCS51大大提高CIP-51内核70% 的指令执行是在一个或两个系统时钟周期内完成只有四条指令的执行需4个以上时钟周期 CIP-51指令与MCS51指令系统全兼容共有111条指令 增加了中断源:准的8051只有7个中断源Cygnal C8051F020单片

40、机扩展了中断处理这对于时实多任务系统的处理是很重要的扩展的中断系统向CIP-51提供22个中断源允许大量的模拟和数字外设中断一个中断处理需要较少的CPU干预却有更高的执行效率 增加了复位源:准的8051只有外部引脚复位Cygnal C8051F020单片机增加了7种复位源使系统的可靠性大大提高每个复位源都可以由用户用软件禁止 1 片内电源监视 2 WDT看门狗定时器 3 时钟丢失检测器 4 比较器0输出电平检测 5 软件强制复位 6 CNVSTRAD转换启动 7 外部引脚RST复位可双向复位 8 提供内部时钟源 标准的8051只有外部时钟Cygnal C8051F020单片机有内部独立的时钟源

41、C8051F300/F302提供的内部时钟误差在2%以内在系统复位时默认内部时 钟如果需要可接外部时钟并可在程序运行时实现内外部时钟的切换外部时钟可以是晶体RCC或外部时钟以上的功能在低功耗应用系统中非常有用。 3gnal C8051F020存储器 数据存贮器:IP-51具有标准8051的程序和数据地址配置它包括256字节的RAM其中高128字节用户只能用直接寻址访问的SFR地址空间低128字节用户可用直接或间接寻址方式访问前32个字节为4个通用工作寄存器区接下来的16字节既可以按字节寻址也可以按位寻址。 另外C8051F020除了内部有扩展4K数据RAM外片外还可扩展至64K数据RAM 程序

42、存贮器:8051F020单片机程序存储器为8K- 64K字节的Flash存贮器该存贮器可按512字节为一扇区编程可以在线编程且不需在片外提供编程电压该程序存贮器未用到的扇区均可由用户按扇区作为非易失性数据存贮器使用。 4.数字I/O和交叉开关 Cygnal C8051F 020单片机具有标准的8051 I/O口除P0P1P2P3之外还有更多的扩展的8位I/O口每个端口I/O引脚都可以设置为推挽或漏极开路输出这为低功耗应用提供了进一步节电的能力。 最为独特的是增加了C8051F2XX除外Digtalcrossbar”数字交叉开关它可将内部数字系统资源定向到P0P1和P2端口I/O引脚并可将定时器

43、串行。总线外部中断源AD输入转换比较器输出都可通过设置Crossbar开关控制寄存器定向到P0P1P2的I/O口这就允许用户根据自己的特定应用选择通用I/O端口和所需数字资源的组合 5.可编程计数器阵列 除了通用计数器/定时器之外C8051F020MCU还有一个片内可编程计数器/定时器阵列PCAPCA包括一个专用的16位计数器/定时器5个可编程的捕捉/比较模块时间基准可以是下面的六个时钟源之一系统时钟/12系统时钟/4定时器0溢出外部时钟输入ECI系统时钟和外部振荡源频率/8C8051F00x/01x没有后两个时钟源,每个捕捉/比较模块都有4或6种工作方式边沿触发捕捉软件定时器高速输出8位脉冲

44、宽度调制器频率输出16位脉冲宽度调制器,PCA捕捉/比较模块的I/O和外部时钟输入可以通过数字交叉开关连到I/O端口引脚 6.多类型串行总线端口 C8051F020内部有一个全双工UARTSPI总线和SMBus/I2C总线每种串行总线都完全用硬件实现都能向CIP-51产生中断这些串行总线不共享定时器中断或I/O端口所以可以使用任何一个或全部同时使用。 C8051F020 MCU内部还有第二个UART这是一个增强型全双工UART具有硬件地址识别和错误检测功能。 7.模数/数模转换器 模数转换器:051F020内部都有一个ADC子系统由逐次逼近型ADC多通道模拟输入选择器和可编程增益放大器组成AD

45、C工作在100ksps的最大采样速率时可提供真正的8位10位或12位精度ADC完全由CIP-51通过特殊功能寄存器控制系统控制器还可以关断ADC以节省功耗。 C8051F020还有一个15ppm的基准电压和内部温度传感器并且8个外部输入通道都可被配置为两个单端输入或一个差分输入。 可编程增益放大器增益可以用软件设置从0.5到16以2的整数次幂递增当不同ADC输入电压信号范围差距较大或需要放大一个具有较大直流偏移的信号时可编程增益放大器是非常有用的。 A/D转换可以有4种启动方式软件命令定时器2溢出定时器3溢出或外部信号输入允许用软件事件硬件信号触发转换或进行连续转换一次转换完成后产生一个中断或

46、者用软件查询来判断转换结束在转换完成后数据字被锁存到特殊功能寄存器中对于10位或12位ADC可以用软件控制数据字为左对齐或右对齐格式。 除了12位的ADC子系统ADC0之外C8051F020还有一个8位ADC子系统即ADC1它有一个8通道输入多路选择器和可编程增益放大器该ADC工作在500ksps的最大采样速率时可提供真正的8位精度ADC1的基准电压可以在模拟电源电压AV+和外部REF引脚之间选择用户可以用软件将ADC1置于关断状态以节省功耗ADC1的可编程增益放大器的增益可以被编程为0.512或4ADC1也有灵活的转换控制机制允许用软件命令定时器溢出或外部信号输入启动ADC1转换用软件可以使

47、ADC1与ADC0同步转换。 数模转换器:8051F020内有两路12位DAC2个电压比较器 CPU通过SFRS控制数模转换和比较器CPU可以将任何一个DAC置于低功耗关断方式DAC为电压输出模式与ADC共用参考电平允许用软件命令和定时器2定时器3及定时器4的溢出信号更新DAC输出。图3.1.1单片机C8051F020外围接口图3.2驱动芯片IR2110 在功率变换装置中,根据主电路的结构,起功率开关器件一般采用直接驱动和隔离驱动两种方式.美国IR公司生产的IR2110驱动器,兼有光耦隔离和电磁隔离的优点,是中小功率变换装置中驱动器件的首选。IR2110引脚功能及特点简介: LO(引脚1):低

48、端输出 COM(引脚2):公共端 Vcc(引脚3):低端固定电源电压 Nc(引脚4): 空端 Vs(引脚5):高端浮置电源偏移电压 VB (引脚6):高端浮置电源电压 HO(引脚7):高端输出 Nc(引脚8): 空端 VDD(引脚9):逻辑电源电压 HIN(引脚10): 逻辑高端输入 SD(引脚11):关断 LIN(引脚12):逻辑低端输入 Vss(引脚13):逻辑电路地电位端,其值可以为0V Nc(引脚14):空端IR2110的特点: (1)具有独立的低端和高端输入通道。 (2)悬浮电源采用自举电路,其高端工作电压可达500V。 (3)输出的电源端(脚3)的电压范围为1020V。 (4)逻辑

49、电源的输入范围(脚9)515V,可方便的与TTL,CMOS电平相匹配,而且逻辑电源地和功率电源地之间允许有5V的便移量。 (5)工作频率高,可达500KHz。 (6)开通、关断延迟小,分别为120ns和94ns,图腾柱输出峰值电流2A。IR2110内部功能如图3.2.1所示。图3.2.1 IR2110内部框图IR2110的工作原理: IR2110内部功能由三部分组成:逻辑输入;电平平移及输出保护。如上所述IR2110的特点,可以为装置的设计带来许多方便。尤其是高端悬浮自举电源的设计,可以大大减少驱动电源的数目,即一组电源即可实现对上下端的控制。系统硬件原理设计4 系统硬件原理设计4.1最大功率

50、追踪电路 图4.1Boost升压电路在最大功率点跟踪装置中起到调节太阳能输出电压的作用。其工作原理为:开关管驱动电路开通时间期间:二极管D反偏截止,电感L储能,电容C给负载提供能量;开关管驱动电路截止时间期间:二极管D导通,电感L和电源经二极管D给电容充电,并向负载提供能量。其输出电压为:图4.1是太阳能最大功率点装置的总体接线图,装置通过的采样电阻对和己进行采样,电阻采样输出电流,通过三个模拟量输入通道将数据传送到单片机中,然后由单片机进行算法的计算,以控制PWM的宽度,从而调节Boost电路的占空比D,来控制太阳能输出电压,使之与最大功率点对应的电压相匹配。4.2DC-DC升压电路如图4.

51、2所示。电感L与电容C足够大。当处于通态时,电源向电感L充电,同时电容C上的电压向负载供电,因C值很大,基本保持输出电压为恒值。处于断态时和L共同向电容C充电并向负载提供能量。设通态时间为,断态时间为,一个周期内电感积蓄的能量相等得:将的输出电压采样送单片机C8051F020分析计算,改变PWM的脉宽从而调节输出电压使其稳定在24V。4.2 升压斩波电路4.3频率相位跟踪电路图4.3 过零检测电路如图4.3所示。D10、D11电压取自变压器次级A、B两点(14v),经过D10、D11全波整流,形成脉动直流波形,电阻分压后,再经过电容滤波,滤去高频成分,当电压大于0.7V时,三极管U导通,在三极

52、管集电极形成低电平;当电压低于0.7V时,三极管截止,三极管集电极通过上拉电阻R21,形成高电平。这样通过三极管的反复导通、截止,在芯片过零检测端口形成脉冲波形,将过零检测得到方波半个周期的脉冲送入单片机,单片机以逆变电压反馈信号的上升沿为计数器的起始时间,以其下降沿和电网电压反馈信号的下降沿为两个计数器截止时间,单片机就可以得到逆变电压的脉冲宽度和逆变电压与电网电压的下降沿之间的宽度。进而得到逆变电压的频率和输出波形的相位差。将频率和相位误差数据送入单片机,单片机调整PWM波的占空比修正频率,改变查表时的入口就可以跟踪到相位。进而调整输出SPWM的频率与相位,实现频率和相位追踪。4.4 DC

53、AC变换电路逆变主电路中功率MOSFET采用大电流低内阻75N75。4个桥臂在SPWM信号控制下轮流导通,为防止驱动器输出的栅极电压过冲,在每个MOSFET的栅极串联一个电阻,并在源、漏极之间反并联一个二极管,防止MOSFET截止瞬间被击穿。4.4单相全桥式逆变电路本设计中采用单相全桥式逆变电路,4个MOSFET管组成逆变电路的桥臂,桥中各臂在控制信号作用下轮流导通,它的基本工作方式为180度导电方式。单相桥式逆变电路电路如图4.4所示。4.5驱动电路在驱动回路中,采用IR2110作为半桥驱动芯片,兼有光耦隔离和电磁隔离的优点,是中小功率变换装置中驱动器件的首选。IR2110能同时输出两路驱动

54、信号,驱动逆变桥中高压侧与低压侧MOSFET。它具有自举悬浮电源,最大驱动电流2A,可直接用于母线电压为-4+600V的系统中来驱动功率MOSFET,工作电压范围1020V,功耗很小。芯片自身具有整形功能,实现了不论其输入信号前后沿陡度如何,都可保证加到被驱动MOSFET栅极上的驱动信号前后沿很陡,因而可极大地减少被驱动功率器件的开关时间,降低开关损耗,具有电源欠压保护关断逻辑和低压延时封锁功能。因此使用IR2110作为驱动芯片使MOSFET驱动电路大为简单,又具有快速完整的保护功能。驱动电路图如图4.5所示。图4.5单相全桥结构驱动电路4.6 滤波模块的设计采用LC滤波电路。SPWM波形中所

55、含的谐波主要是载波角频率及其奇次谐波。本系统采用载波频率为30kHz,远大于调制信号角频率,滤波较易实现。系统中逆变器输出频率为4555Hz, LC滤波器截止频率,元件参数取L=1mH,C=10F,计算截止频率为1.59kHz,满足设计要求。电路图如图4.6所示。图4.6滤波电路图4.7欠电压保护和过电流保护的设计电流传感器是常见的采集交流电流的器件,本方案也用它对交流侧的电流进行采集,用桥式二极管整流,电容的作用亦为简单的滤波,可变电阻可以通过改变电阻的大小确定电压的大小,与给定比较,构成过电流保护电路。图4.7.1示。图4.7.1欠电压保护电路:将Ud的电压经电阻分压后,鉴于单片机耐压值较

56、小,按一定比例取入电压,通过单片机自带的AD转换模块采集输入电压,控制器与低压限值进行比较,在输入电压降低到设定值时启动控制程序封锁IR2110,从而实现输入欠压保护保护功能,电压恢复后装置自动恢复正常状态的功能。过电流保护电路:对DC-AC变换器的输出电压进行降压,通过单片机自带的AD转换模块采集输入电压,控制器与高压限值进行比较,在输出电流上升到设定值时启动控制程序封锁IR2110,从而实现输入欠压保护和输出过流保护功能,电流恢复后装置自动恢复正常状态的功能。电路图如图4.7所示。图4.7.2保护电路4.8控制回路电源的设计此次设计中控制回路的电源主要有15V、5V和3.3V。为此设计将5

57、V的电源通过AS1117转换给单片机直接供电的3.3V。为了在系统工作时方便判断控制回路电源供电状态,设置了四个发光二极管来显示电源是否正常工作。4.8.1 AS1117介绍AS1117是一款低压差的线性稳压器,外围应用电路简单,当输出1A电流时,输入输出的电压差典型值仅为1.2V。AS1117除了提供多种固定电压版本外(Vout=1.8V,2.5V,2.85V,3.3V,5V),这些固定电压版本只需输入输出两个电容和负载即可工作,芯片内部包括启动电路,偏置电路,电压基准源电路,过热保护,过流保护,功率管及其驱动电路等模块组成。该版本能提供的输出电压范围为1.2513.8V,输出工作电压范围高

58、达15V。这些优点确保芯片和电源系统的稳定性,并确保输出电压和参考源精度1%的精度范围内。AS1117的引脚排列图如图4.8.1,引脚定义如表4.8.1图4.8.1引脚排列图引脚号符号定义1GND接地脚2Vout输出端3Vin输入端表4.8.1 固定电压型引脚定义 4.8.2电源设计硬件原理图4.8.2硬件原理图电源后面接发光二极管和电阻是为了显示电源是否正常工作,方便检查故障。电阻的作用是限流,保护发光二极管正常工作。电感是用来限制高速电流变动,也就是滤去“高频”的电流成份,大的电解电容主要用于低频滤波,小电容主要用于滤去高频毛刺。通过1000f电解电容滤去低频干扰;0.1f无极性电容滤除高

59、频噪声去耦。铝电解电容容量比较大,大约为1mA电流对应23f;由于大电容极板和引脚段大,导致电感也大,固对高频不起作用,因此设计中选用0.1f滤高频干扰。4.9键盘输入电路为了控制并网系统电源的安全使用,设计了用键盘输入电路。如图所示,该键盘输入电路主要由四个按键和四个电阻。四个按键S3控制主回路的启动,S4控制主回路的停止,S5控制控制回路的启动,S6控制控制回路的停止工作。由于C8051F020单片机端口引脚的典型工作电流为10mA,电压给定5V,则选用四个限流电阻的阻值均选择为4.7k。在该电路中,当没有键按下时,单片机C8051F020的P0.4、P0.5、P0.6、P0.7四个端口处

60、于高电平,当有键按下时对应的端口变为低电平,通过判断相应的键是否按下,软件从而控制系统的停止和启动。图4.9 键盘输入电路结论5 结论光伏并网发电系统是本世纪的新的可持续发展绿色能源之一。对其技术的研究无论是在经济发展上,还是环境保护方面都有着重大的意义。本文对太阳能电源并网电路与控制部分做了一个详细的研究,从光伏发电的分类开始阐述,讲了SPWM控制逆变的方法,以及C8051F020单片机对SPWM过程的控制,逆变信号和电网信号的过零检测,将检测的结果输入到单片机中,由单片机根据输入信号的变化随时地改变输出波形来控制SPWM。归纳起来本文主要做了以下工作:1.介绍了太阳能发电的情况和发展趋势,

61、包括并网发电、并网逆变、最大功率点的跟踪等技术概念。2.分析了太阳能逆变器原理,详细论述SPWM在逆变器中应用。3.设计太阳能电源并网电路与控制部分的硬件部分;对其电路和工作原理进行详细分析。4.本文在并网逆变系统设计过程中,采用了C8051F020单片机,提高控制系统灵活性,可靠性。参考文献1 李晶,窦伟,徐正国等.光伏发电系统中最大功率点跟踪算法的研究.太阳能学报J.2007,28(3):268-273。2 张国荣,项国轩.光伏电池最大功率点跟踪方法的研究J.能源工程.2009,1:13-163 杨帆,彭宏伟,胡为兵. DC-DC转换电路在光伏发电MPPT中的应用J.武汉工程大学。4 张纯

62、江,郭忠南,孟慧英,等.主动电流扰动法在并网发电系统孤岛检测中的应用J;电工技术学报;2007年07期5 刘飞,段善旭,殷进军,等.单级式光伏发电系统MPPT的实现与稳定性研究 J;电力电子技术;2008年03期6 龙源,李国杰,程林,等.利用光伏发电系统抑制电网功率振荡的研究J;电网技术;2006年24期7 周林,武剑,栗秋华,等.光伏阵列最大功率点跟踪控制方法综述J;高电压技术;2008年06期8 胡静,张建成.基于数值方法的光伏发电系统MPPT控制算法研究J;电力科学与工程;2009年07期9 张超,何湘宁,赵德安.光伏发电系统变步长MPPT控制策略研究J;电力电子技术;2009年10期10 丁新平,卢燕,钱照明,等.Z源逆变器光伏并网系统光伏电池MPPT和逆变器并网的单级控制J;电工技术学报;2010年04期11 杨柳,周雒维,杜雄.单周控制光伏并网系统的最大功率控制研究J;哈尔滨工程大学学报;2009年12期12 刘飞.三相并网光伏发电系统的运行控制策略D;华中科技大学;2008年13 周小义.基于独立光伏组件并网逆变器(ac module)的研究D;合肥工业大学;2007年14 郭润睿.光伏发电系统直流变换器的研究D;天津理工大学;2008年15 杨柳,周雒维,杜雄.单周控制光伏并网系统的最大功率控制研究J;哈尔滨工程大学学报;2009年1

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!