基于FPGA的交通灯控制器设计

上传人:1777****777 文档编号:38215663 上传时间:2021-11-06 格式:DOC 页数:41 大小:467KB
收藏 版权申诉 举报 下载
基于FPGA的交通灯控制器设计_第1页
第1页 / 共41页
基于FPGA的交通灯控制器设计_第2页
第2页 / 共41页
基于FPGA的交通灯控制器设计_第3页
第3页 / 共41页
资源描述:

《基于FPGA的交通灯控制器设计》由会员分享,可在线阅读,更多相关《基于FPGA的交通灯控制器设计(41页珍藏版)》请在装配图网上搜索。

1、洛阳理工学院毕业设计(论文)基于FPGA的交通灯控制器设计摘 要超高速硬件描述语言VHDL,是对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,利用EDA工具可以在电子设计的各个阶段、各个层系进行计算机模拟验证,保证设计过程的正确性,可大大降低设计成本,缩短设计周期。本文介绍的数字秒表设计,利用基于VHDL的EDA设计工具,采用大规模可编程逻辑器件FPGA,通过设计芯片来实现系统功能。交通灯控制系统可以实现路口红绿灯的自动控制。基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、实时快速擦写、运算速度高、故障率低、可靠性高,而且体积小的特点。本设计采用Altera公司Cyclon

2、e系列的EPlC3T1444C8芯片,在Quartus II软件平台上使用VHDL语言,采用自顶向下的设计方法对系统进行了模块化设计和综合,并进行了仿真。该系统可实现十字路口红绿灯及左转弯控制和倒计时显示,仿真结果结果表明系统能够自动控制交通灯转变。关键词:VHDL,交通灯,EDATHE LIGHT CONTROLLER BASED ON FPGA DESIGNABSTRACTWith the development of the economy, microelectronic technology, computer technology and the automatic theory

3、are developed rapidly. Its application is becoming more and more widely. But the traffic light as an important traffic,it also has developed quickly with the improving requirement of the people.On all the system of the traffic light, The system of traffic control which designed based on FPGA is rece

4、ived by more and more people.The system of traffic control can realize the automatic control of traffic light in a intersection. The system of traffic control which designed based on FPGA has many characteristics such as simple circuit, reliable operation, toerase function quickly with real-time app

5、lications, high speed, high reliability, low failure rate, and small volume. By using the platform of software design system Quartus II and the form of the VHDL language, we simulate the system by using EPlC3T1444C8 Mars device and the result show that the download system can automatically control t

6、he traffic lights. KEY WORDS: VHDL,traffic light,EDA目 录前言1第1章 FPGA概述21.1 FPGA的简介21.2 FPGA的应用2第2章 VHDL硬件描述语言32.1 VHDL程序基本结构32.1.1 实体32.1.2 结构体42.1.3 库42.2 VHDL语言42.2.1 VHDL文字规则42.2.2 VHDL数据对象42.2.3 VHDL数据类型52.2.4 VHDL 顺序语句52.2.5 VHDL并行语句5第3章 系统设计与仿真63.1 系统介绍63.1.1 设计任务63.1.2 设计要求63.2 系统设计仿真73.2.1 顶层框

7、图的设计73.2.2 时序状态图的设计73.2.3 工程设计流程框图:83.2.4 芯片的选择83.2.5 各个模块的设计与仿真8结 论23谢 辞24参考文献25附 录26外文资料翻译2837前言当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断进行更新换代,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师更愿意自己设计专业集成电路(ASIC)芯片,而且希望设计周期尽可能短,最好在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程器件(FPLD)。现场可编程门阵列(FPGA)即属其中应用最广泛的

8、一种。随着电子技术的发展,特别是大规模集成电路和计算机技术的研制和发展,让电子产品设计有了更好的应用市场,实现方法也有了更多的选择,而电子电路的设计却变得越来越复杂,使用“语言”进行电子设计已成为一种趋势。现代电子系统设计方法是设计师自己设计芯片来实现电子系统的功能,将传统的固件选用及电路板设计工作放在芯片设计中进行。在这些专业化软件中,EDA(Electronic Design Automation)具有一定的代表性,EDA技术是一种基于芯片的现代电子系统设计方法。基于EDA技术的现场可编程门阵列(FPGA)电 (ASIC) ,在数字系统设计和控制电路中越来越受到重视。VHDL语言是电子设计

9、的主流硬件描述语言,它更适合进行行为描述,这种方式使得设计者专注于电路功能的设计,而不必过多地考虑具体的硬件结构。基于EDA技术的现场可编程门阵列(FPGA)电路,提出现场可编程门阵列(FPGA)是近年来迅速发展的大规模可编程专用集成电路(ASIC),在数字系统设计和控制电路中越来越受到重视。VHDL语言是电子设计的主流硬件描述语言,它更适合进行行为描述,这种方式使得设计者专注于电路功能的设计,而不必过多地考虑具体的硬件结构。第1章 FPGA概述1.1 FPGA的简介FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在可编程器件的基础上进一步发展的产

10、物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。目前以硬件描述语言所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。1.2 FPGA的应用 FPGA的应用可分为三个层面:电路设计,产品设计,系统设计。电路设计连接逻辑,控制逻辑是FPGA早期发挥作用比较大的领域也是FPGA应用的基石。事实上在电路设计中应用FPGA要求开发者要具备相应的硬件知识(电路知识)和软件应用能力(开发工具)。 产品设计把相对成熟的技术应用到某些特定领域开发出满足行业需要并能被行业客户接

11、受的产品。这方面主要是FPGA技术和专业技术的结合问题,重点在性能, FPGA技术在这个领域是一个实现手段,FPGA因为具备接口,控制,功能IP,内嵌CPU等特点有条件实现一个构造简单,固化程度高,功能全面的系统产品设计。系统级应用系统级的应用是FPGA与传统的计算机技术结合,实现一种FPGA版的计算机系统如用XilinxV-4, V-5系列的FPGA,实现内嵌POWERPCCPU, 然后再配合各种外围功能,这个平台上跑LINIX等系统这个系统也就支持各种标准外设和功能接口了,这对于快速构成FPGA大型系统来讲是很有帮助的。第2章 VHDL硬件描述语言2.1 VHDL程序基本结构 一个相对完整

12、的VHDL程序通常包含实体、结构体、配置、程序包和库5个部分。程序模板如下:LIBRARY library name;USE library name.package name.all;ENTITY entity name IS GENERIC ( parameter name :string:=default value; parameter name :integer:=default value); PORT ( input name,input name: IN STD-LOGIC; Input vector name:IN STD_LOGIC_VECTOR( high DOWNTO

13、low); output name ,output name:OUT STD_LOGIC);END entity name; ARCHITECTURE a OF entity name IS SIGNAL signal name:STD_LOGIC;BEGIN -process statement (optional): -generate statement (optional) END a; 2.1.1 实体实体一般用来描述所设计的系统的外部接口信号,是可视部分;其中最重要的部分是端口说明。端口说明语句是对于一个设计实体界面的说明。端口名是赋予每个系统引脚的名称。一个实体通常有一个或多个端

14、口,端口类似于原理图部件符合上的管脚。实体与外界交流的信息必须通过端口通道流入或流出。IEEE 1064标准包中定义了四种端口模式,其功能及符号分别是IN、OUT、INOUT、BUFFER、LINKAGE。 2.1.2 结构体结构体用于描述系统内部的结构和行为,建立输入输出之间的关系。在一个实体中,可以含有一个或一个以上的结构体,而在每一个结构体中又可以含有一个或多个进程以及其他的语句。其中,实体名必须是被设计的实体的名字。结构体中的说明语句是对结构体的功能描述语句中将要用到的信号、数据类型、常数、元件、函数和过程等加以说明的语句。结构体包含两类语句:并行语句和顺序语句。在PROCESS中的语

15、句是顺序执行的,当PROCESS所带的敏感信号发生变化时,PROCESS中的语句就会执行一遍。2.1.3 库 库使用说明用于打开(调用)本设计实体将要用到的库,库是专门存放预编译程序包的地方。IEEE库:在IEEE库中有一个STD_LOGIC的包,它是IEEE正式认可的包。STD库:STD库是VHDL的标准库,在库中有名为STANDARD的包。在使用库之前,一定要进行库说明,库的说明总是放在设计单元的前面。 2.2 VHDL语言2.2.1 VHDL文字规则VHDL文字主要包括数值和标识符。数值型文字主要有数字型、字符串型和位串型。数字型文字有:整数文字(十进制数)、实数文字(十进制数,必须带有

16、小数点)、以数字基数表示的文字。字符串型文字有:文字字符串、数位字符串、标识符。2.2.2 VHDL数据对象在VHDL中,数据对象类似于一种容器,接受不同数据类型的赋值。数据对象有3种:即常量、信号、变量。常量是固定值,不能在程序中被改变。变量时一个局部量,是一个临时数据,没有物理意义。它只能在Process和Function中定义,必须在进程和子程序的说明性区域说明,并只在其内部有效。信号是描述硬件系统的基本数据对象,代表连接线,Port也是一种信号。2.2.3 VHDL数据类型VHDL是一种强类型语言,要求设计实体中的每一个常数、信号、变量、函数以及设定的各种参量都必须具有确定的数据类型,

17、并且只有相同的数据类型的量才能互相传递和作用。VHDL 的基本类型有:bit(位)、bit-Vector(位矢量)、Boolean、time、character、string、integer 、real。 2.2.4 VHDL 顺序语句VHDL有如下六类基本顺序语句 :赋值语句、流程控制语句、等待语句、子程序调用语句、返回语句、空操作语句。赋值语句有信号赋值语句和变量赋值语句两种。流程控制语句共有五种:IF语句、CASE语句、LOOP语句、NEXT语句、EXIT语句。IF语句根据条件句产生的判断结果:TRUE 或 FALSE,有条件地选择执行其后的顺序语句。 CASE语句根据满足的条件直接选择

18、多项顺序语句中的一项执行。空操作语句不完成任何操作,它常用于CASE语句中,利用它来表示所余的不用条件下的操作行为满足所有可能的条件。2.2.5 VHDL并行语句结构体中的并行语句主要有七种:并行信号赋值语句、进程语句、块语句 、条件信号赋值语句、元件例化语句(其中包括类属配置语句 )生成语句、并行过程调用语句。第3章 系统设计与仿真3.1 系统介绍交通灯控制器用于自动控制十字路口交通灯和计时器,指挥各种车辆和行人安全通行。3.1.1 设计任务设计一个十字路口交通控制器,方向分为东南西北四个方向。东西方向的红绿灯状态一样,南北方向的红绿灯状态一样。每个方向上,有四盏灯,分别是左转灯、红灯、绿灯

19、和黄灯。左拐灯亮表示左转车辆可以通行;红灯亮表示左转和直行车辆禁行;绿灯亮表示直行车辆和右转的车辆可以通行;黄灯亮表示左转和直行的车辆即将禁行;倒计时显示器用来显示允许通行或禁止通行的时间倒计时。3.1.2 设计要求在十字路口东西方向和南北方向各设一组左转灯、;显示的顺序为:左转灯绿灯黄灯红灯。在东西方向和南北方向各设一组倒计时显示器。倒计时只显示对应方向上的红灯倒计时和绿灯倒计时。其余的状态不显示倒计时。左转灯、红灯、绿灯和黄灯亮的时间分别是15秒、80秒、45秒、5秒。状态表如表3-1所示:表3-1 交通灯状态转换表状态S0S1S2S3S4S5东 西 方 向红红红红 左转绿黄亮 灯 154

20、5515455南 北 方 向红 左转绿黄红红红亮灯15455154553.2 系统设计仿真对于交通灯控制器,可基于Quartus II 软件,采用层次化混合输入方式进行设计,即顶层采用原理图设计,底层采用VHDL语言设计。3.2.1 顶层框图的设计顶层原理图设计可以依据系统框图进行,有分频模块、控制模块、倒计时模块、红绿灯显示模块、译码模块、译码显示模块6六部分组成,如图3-1 所示:图3-1 顶层原理图系统框图3.2.2 时序状态图的设计图3-2 交通灯时序状态图3.2.3 工程设计流程框图:新建工程新建原理图文件或VHDL文件输入程序或编辑原理图编译,若有错,修改程序,直至无错建立波形文件

21、,导入管脚编译波形文件,查看仿真结果,保存 图3-3 工程设计流程框图3.2.4 芯片的选择在这次毕业设计中,我们选用的FPGA芯片是Altera公司的Cyclone(飓风)系列的芯片,型号为:EP1C3T144C8。它是基于1.5V的,采用0.13um全铜SRAM工艺、TQFP封装,有5980个逻辑单元,2个锁相环,20个M4K RAM块,其中每个RAM为4kbit,可以另加一位奇偶校验位。3.2.5 各个模块的设计与仿真1. 模块设计该模块可将频率为1KHZ的脉冲波,经过分频变为频率为1HZ的脉冲波,这样我们就得到了周期为1S的脉冲波。分频器程序清单如下:library ieee;use

22、ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpinqi isport ( clk1khz:in std_logic; clk1hz:out std_logic);end fenpinqi;architecture a of fenpinqi isbeginprocess( clk1khz )variable q: integer:=0 ; variable r:std_logic:=0;begin if clk1khzevent and clk1khz=1 then if q=512 then r:=not

23、 r; q:=0; else q:=q+1; end if;clk1hz=r;end if;end process;end a;分频器程序仿真结果如图3-4所示: 图3-4 分频器程序仿真结果图在分频器程序仿真结果图中,clk1khz 为输入脉冲信号,频率为1khz;clk1hz为输出脉冲信号,频率为1hz。从图中,我们可以看出clk1hz的周期为1s。这表明程序的确把1khz的信号分频成1hz的信号。2. 模块设计control模块可根据clk的脉冲信号输出S的值,下游模块根据S的值来决定红绿灯的状态。同时对倒计时的信号赋初值。control模块程序清单:library ieee;use i

24、eee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity control isport( clk:in std_logic; s:out std_logic_vector(2 downto 0); loadat,loadbt:out std_logic; at,bt:out integer range 0 to 80);end control;architecture a of control issignal t:integer;begin process(clk) begin if clkevent and clk=1 th

25、en loadat=0;loadbt=0; if t=0 then s=000; at=80; loadat=1; elsif t=15 then s=001; bt=45; loadbt=1; elsif t=60 then s=010; elsif t=65 then s=011; bt=80; loadbt=1; elsif t=80 then s=100; at=45; loadat=1; elsif t=125 then s=101; end if; t=t+1; end if;if t=130 then t=0; end if; end process;end a;control模

26、块程序仿真结果如图3-5所示: 图3-5 control模块程序仿真结果图在control模块程序仿真结果图中,只有一个输入信号clk,它是一个频率为1hz的时钟脉冲信号,输出信号有3个分别是s、at、bt。程序中,通过变量t的值来确定输出信号s的值,并由此确定将要赋得倒计时的初值,然后赋给at,bt。在每次给at,bt赋值时,都会使另一个输出信号loadat,loadbt变成高电平,从而可以触发下一模块的装载。3. daojishi模块设计该模块用来接收control模块的输出作为初值,并进行减一操作,最后把减一得结果输出。程序里每检测到一个脉冲clk,就进行一次减一操作。atdaojish

27、i模块程序清单:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity atdaojishi isport ( clk,loadat:in std_logic; atin:in integer range 0 to 80; atout:out integer range 0 to 80);end atdaojishi;architecture a of atdaojishi issignal countat:integer range 0 to 80;beginprocessbeginwai

28、t until rising_edge(clk);if loadat=1 then countat=atin;else countat=countat-1;end if;end process;atout=countat;end a;atdaojishi模块程序仿真结果如图3-6所示:图3-6 atdaojishi模块程序仿真结果图 在atdaojishi模块程序仿真结果图中,clk为1hz的输入信号,loadat为at计数器的装载信号,当loadat为高电平时,将输入信号atin的值装载到计数器中,开始执行减一操作,每接受一次clk,执行一次,并将结果输出。4. daojishi模块设计该模

29、块用来接收control模块的输出作为初值,并进行减一操作,最后把减一得结果输出。程序里每检测到一个脉冲clk,就进行一次减一操作。btdaojishi模块程序清单:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity btdaojishi isport ( clk,loadbt:in std_logic; btin:in integer range 0 to 80; btout:out integer range 0 to 80);end btdaojishi;architecture

30、a of btdaojishi issignal countbt:integer range 0 to 80;beginprocessbeginwait until rising_edge(clk);if loadbt=1 then countbt=btin;else countbt=countbt-1;end if;end process;btout b:=01001100;when 001= b:=01000010;when 010= b:=01000001;when 011= b:=11000100;when 100= b:=00100100;when 101= b:=00010100;

31、when others = b:=00000000;end case;lrgy=70 thenaout1=7;aout2=60 then aout1=6;aout2=50 thenaout1=5;aout2=40 thenaout1=4;aout2=30 thenaout1=3;aout2=20 thenaout1=2;aout2=10 thenaout1=1;aout2=0 thenaout1=0;aout2=70 thenbout1=7;bout2=60 then bout1=6;bout2=50 thenbout1=5;bout2=40 thenbout1=4;bout2=30 then

32、bout1=3;bout2=20 thenbout1=2;bout2=10 thenbout1=1;bout2=0 thenbout1=0;bout2 aout1 aout1 aout1 aout1 aout1 aout1 aout1 aout1 aout1 aout1 aout1 aout2 aout2 aout2 aout2 aout2 aout2 aout2 aout2 aout2 aout2 aout2 bout1 bout1 bout1 bout1 bout1 bout1 bout1 bout1 bout1 bout1 bout1 bout2 bout2 bout2 bout2 bo

33、ut2 bout2 bout2 bout2 bout2 bout2 bout2=00000000 ; end case;end process;end a;译码显示仿真结果如图3-10所示: 图3-10 译码显示模块仿真结果图在译码显示模块仿真结果图中,at1,at2,bt1,bt2为输入信号,它们的值的范围为09;aout1,aout2,bout1,bout2为输出信号,它们的范围为数字09对应的七位数码管显示段码的值。图中,输出信号的值随着输入信号的变化而相应的变化。仿真完全正确,符合预期。8. 顶层文件设计交通灯的顶层文件是一个原理图文件,它包含7个元件,每一个元件均是由一个模块程序生成

34、。7个元件分别为:fenpinqi模块、control模块、main模块、atdaojishi模块、btdaojishi模块、yima模块和yimaxianshi模块。这些模块均经过设计、编译、仿真,结果正确。最后生成可视化元件。然后将各个模块用具有电气性质的导线连接起来,这样原理图文件就建好了。保存编译。在建立一个.vwf波形文件,保存并仿真。原理图如图3-11所示:仿真结果如图3.12所示:实验证明,仿真结果正确,符合预期。 图3-11 顶层文件设计原理图图3-12 顶层文件波形仿真结果图在顶层文件波形仿真结果图中,有一个频率为1khz输入信号clk1khz,它是外部的信号对整个系统设计的

35、输入端口。作为一个脉冲,经过分频变成频率为1hz的脉冲,由于1hz的脉冲的周期是1s,所以我们可以用它来控制整个系统的步调,而这个步调与现实生活中交通灯的步调是一致的。1hz的信号经过control模块的处理,通过计数使s变化为不同的值,s代表的是交通灯时序图的状态,一共有6个状态(s0s5)。同时对应不同的s的状态赋不同的初值给at,bt。at,bt分别是东西方向和南北方向的倒计时初值。Control模块还有一个功能是提供倒计时模块的置数的触发脉冲。接下来,main模块直接接受s的值来输出相应的红绿灯亮灭状态。例如:lrgy的值为01000010,这表明交通灯的亮灭情况为:东西左转灯灭,东西

36、红灯亮,东西绿灯灭,东西黄灯灭,南北左转灯灭,南北红灯灭,南北绿灯亮,南北黄灯灭。即一一对应。倒计时部分分为两个模块:atdaojishi和btdaojishi。两个模块分别倒计时东西方向和南北方向。每个倒计时模块都有相似的端口:clk、loadat、atin、atout和clk、loadbt、btin、btout。我们只举其中一个为例子:clk为倒计时的时钟脉冲,当loadat为高电平时,将atin传递给atout,clk的上升沿每来一次,atout减一次。此前,时间的值都是整形的,要想将倒计时实时显示出来,就需要将两位的整数分解成个位和十位,yima模块就是这样的。将一个倒计时分解成两个一

37、位数,例如将54分解成5和4。然后经过yimaxianshi模块将对应的数字译成相应的段码,例如将5和4译码为01101101和01100110。在这个系统设计中,所有的数码管和二极管均为共阴极显示。结 论从4月份接到毕业设计任务到现在,已有两个月的时间,回想自己在这两个月的时间里的付出,看着手中这份劳动果实,我真正体会到了收获的喜悦。在此期间,我在网上搜集一些相关信息,但由于上班时间的限制,我更多的时间是自己研究。同时, 我还和杨军政一起研究交流,利用回校的一点时间,到图书馆查阅有关资料,最后终于顺利完成了此次毕业论文设计。此次毕业论文设计让我了解了GFPA的相关知识,并且加深了对VHDL语

38、言的认识,熟悉了VHDL语言在交通灯中的运用。这也使我将原来所学的知识系统化、理论化、实用化,对如何使用已有知识及获取相关资料方面的能力又有了提高。设计达到了预定的设计目的,实现了利用现场可编程门阵列(VHDL)自动控制十字路口交通灯和计时器,各交通灯及计时器均按设计任务要求正常运行,指挥各种车辆和行人安全通行。通过这次设计,我还认识到无论做什么,都需要踏实、勤奋、严谨的工作态度,这对我以后的工作产生深远的影响。谢 辞刚上大学就听说毕业时每位学生都要做一份毕业论文,经过答辩才可以顺利毕业。把大学三年所学的知识综合到一份论文中,应该是一份很艰巨的任务,但是这也是我们三年学习的一个考验,毕竟这样的

39、机会很少。想到这,我便全身心投入到毕业设计中去。交通灯控制技术是一项专业技术,它涉及到电气专业的诸多课程。通过此次设计我对自己所学基础理论、专业知识和基本技能进行了综合的检验。培养了分析与解决实际问题的能力,本文是在指导老师卢老师的悉心指导下完成的,由于工作关系,我更多的是和杨军政一起讨论。在此,我要感谢杨军政,感谢卢老师,并队对其他给予帮助的老师们表示我诚挚的谢意,对给予帮助的同学们表示衷心的感激。最后,还要感谢洛阳理工学院的所有老师在这大学期间给我的培养。不仅使我学到了很多新知识,更重要的是,使我建立起了一套完整的科学思考观,正是有了这样科学的分析和思考问题的方式,才能使我解决毕业设计中遇

40、到的一系列问题,同时这在我以后的生活、学习和工作中也将起到举足轻重的作用。鉴于本人所学知识有限,经验不足,又是初次研究这种复杂的设计。在此过程中难免存在一些错误和不足之处,恳请各位老师给予批评和指正。 参考文献1 邹彦,庄严. EDA.技术与数字系统设计. 北京: 电子工业出版社,2007.4 2 李辉. PLD与数字系统设计. 西安: 西安电子科技大学出版社,2005 3 廖超平. EDA技术与VHDL实用教程. 北京: 高等教育出版社,2008.5 4 潘新民. 微型计算机控制技术实用教程. 北京: 电子工业出版社,2009.7 5 黄仁欣. EDA技术实用教程. 北京: 清华大学出版社著

41、,2006.9 6 路明礼. 数字电子技术. 武汉: 武汉理工大学出版社,2008.8 7 李朝青. 单片机原理与接口技术. 北京: 北京航空航天大学出版社,2008 8 潘松,王国栋. VHDL实用教程. 成都: 电子科技大学出版社,1999.129 李国厚. 自动化专业英语. 北京: 北京大学出版社 中国林业出版社,2006.110黄智伟. 全国大学生电子设计竞赛电路设计. 北京: 北京航空航天大学出版社,200611 杨承毅. 电子技能实训基础. 北京: 人民邮电出版社,200512 13 14 王丹,童如松. 电子设计自动化(EDA)手册. 北京: 电子工业出版社,2005.315 辛

42、春艳. VHDL硬件描述语言. 北京: 国防工业出版社,200216 王建坤. MAX+PLUSII入门与提高. 北京: 清华大学出版社,200417 谭会生,张昌凡. EDA技术及应用. 西安: 西安电子科技大学出版社,200418 李景华,杜王远. 可编程逻辑器件与EDA技术. 东北大学出版社,2000附 录1. FPGA简介1.1 FPGA工作原理FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Inter

43、connect)三个部分。1.2 FPGA的基本特点1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和IO引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 2.VHDL简介VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减

44、开发周期的一种使用范围较小的设计语言 。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点2.1 VHDL系统设计的特点功能强大、设计灵活支持广泛、易于修改强

45、大的系统硬件描述能力独立于器件的设计、与工艺无关易于共享和复用3EDA简介EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可*性,减轻了设计者的劳动

46、强度。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。外文资料翻译Analog AmplifiersAt the most basic level, a signal ampl

47、ifier does exactly what you expect it makes a signal bigger! However, the way in which it is done does vary with the design of the actual amplifier, the type of signal, and the reason why we want to enlarge the signal. 1 .We can illustrate this by considering the common example of a “Hi-Fi” audio system.

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!