七人表决器的VHDL程序设计

上传人:1888****888 文档编号:38133048 上传时间:2021-11-05 格式:DOC 页数:14 大小:1.63MB
收藏 版权申诉 举报 下载
七人表决器的VHDL程序设计_第1页
第1页 / 共14页
七人表决器的VHDL程序设计_第2页
第2页 / 共14页
七人表决器的VHDL程序设计_第3页
第3页 / 共14页
资源描述:

《七人表决器的VHDL程序设计》由会员分享,可在线阅读,更多相关《七人表决器的VHDL程序设计(14页珍藏版)》请在装配图网上搜索。

1、一、题目 用VHDL设计七人表决器二、分析讨论: 七人表决器这一功能用C语言、汇编语言或VHDL编程然后下载到单片机上实现,不过用VHDL编程不仅技术含量高而且能让我们更熟练的掌握使用quartus软件的步骤和方法。所以我们使用VHDL完成。七人表决器就是当投票的人中,同意个数过半(即大于等于4)时,认为通过;否则,不通过。 在编程中我们使用一个七位二进制输入变量表示投票人;一个七位二进制输出变量表示一个七段数码管显示同意的票数;一个一位的输出变量表示最终的投票结果。此结果能够在quartus软件中通过时序仿真。 在硬件上我们用七个拨动开关来表示七个投票人,拨动开关为1时表示同意,为0表示不同

2、意;同意的票数和表决的时间分别通过一个七段数码管显示出来;最终的表决结果用一个LED表示。 三、设计方案 31实现原理 首先,编写一个十进制的减法计数器,其输入为clk, clr, en,输出为q3.0。外部的时钟信号的频率选择为1KHz,此频率太大无法显示投票的限制时间,所以要用一个分频器来增大计数时间。设计一个分频器的程序,将时钟信号经过分频器输入到计数器中,输入为clk, 输出为 new clk。当clk 有1000个上升沿是newclk产生一个脉冲,也就是计数器计数一次。其次,编辑七人表决器在主程序,其输入为clk, clr, en, input6.0, q3.0,输出为output,

3、led6.0。此程序的是时钟脉冲clk也为1KHz;当q从9到4期间投票是有效的,在4到0期间是无效的,此时就显示之前同意的票数。记录的票数通过casa语句转换到8位七段数码管显示出来。投票的时间和记录的票数要通过两个数码管显示,所以要用一个三八译码器来完成。接着编辑一个三八译码器的程序,其输入为clk, led6.0, q3.0, 输出为 choice, data7.0。程序中当用一个中间变量来选择数码管,由于clk的扫描的频率很大,所以两个数码管看上去是同时显示。 32 实现条件 在quartus环境下编辑操作; 外部时钟信号; 控制引脚。3.3 算法的描述 首先,编写十进制的减法计数器,

4、其输入为clk, clr, en,输出为q3.0,运行之后生成模块器件。这个子程序中主要用到的算法是if语句:if(clr=0)then count_4=1001;elsif(clkevent and clk=1)thenif(en=1)thenif(count_4=0000)thencount_4=1001;elsecount_4x:=1111110; when 1=x:=0110000; when 2=x:=1101101; when 3=x:=1111001; when 4=x:=0110011; when 5=x:=1011011; when 6=x:=1011111; when 7=

5、x:=1110000; when others=x:=0000000;end case; 接着编辑三八译码器的程序,其输入为clk, led6.0, q3.0, 输出为 choice, data7.0, 生产模块器件。此程序中用到的算法主要有if语句和casa语句。 if clkevent and clk=1 THEN if count=1 then count=0; else countdataindataindataindataindataindataindataindataindataindataindatain=00000000; end case; 最后,设计一个分频器的程序,将时钟

6、信号经过分频器输入到计数器中,输入为clk, 输出为 new clk 。此程序主要用到的算法是if语句。 if clkevent and clk=1 then if cnter=999 then cnter=0; else cnteroutputoutputx:=1111110; when 1=x:=0110000; when 2=x:=1101101; when 3=x:=1111001; when 4=x:=0110011; when 5=x:=1011011; when 6=x:=1011111; when 7=x:=1110000; when others=x:=0000000; en

7、d case;l=x;end if;end process;end art;十进制计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count10 isport (clk,clr,en:in std_logic; q:out std_logic_vector(3 downto 0);end count10;architecture rtl of count10 issignal count_4:std_logic_vector(3 downto 0);beginq(0)=coun

8、t_4(0);q(1)=count_4(1);q(2)=count_4(2);q(3)=count_4(3);process(clk,clr)beginif(clr=0)then count_4=1001;elsif(clkevent and clk=1)thenif(en=1)thenif(count_4=0000)thencount_4=1001;elsecount_4=count_4-1;end if;end if;end if;end process;end rtl;译码器library ieee;use ieee.std_logic_1164.all;use ieee.std_log

9、ic_unsigned.all;ENTITY ymq1 ISPORT( clk: INSTD_LOGIC; p1 : INSTD_LOGIC_VECTOR(6 downto 0); p2 : IN STD_LOGIC_VECTOR(3 downto 0);choice: OUTSTD_LOGIC;data: OUTSTD_LOGIC_VECTOR(7 downto 0);END ymq1;ARCHITECTURE art OF ymq1 IS SIGNAL count : STD_LOGIC;-_VECTOR(2 downto 0);SIGNAL temp : STD_LOGIC_VECTOR

10、(6 downto 0);signal temp1: STD_LOGIC_VECTOR(3 downto 0);SIGNAL choicein :STD_LOGIC;-_VECTOR(2 downto 0);SIGNAL datain : STD_LOGIC_VECTOR(7 downto 0);beginclk1_label:PROCESS (clk)BEGINif clkevent and clk=1 THEN if count=1 then count=0; else count=1; end if;end if;END PROCESS clk1_label;clk2_label:pro

11、cess(clk,p1,p2)BEGINif clkevent and clk=1 then choicein=count; choice=choicein; data=datain; if(count=1)then temp1dataindataindataindataindataindataindataindataindataindataindatain=00000000; end case; elsetempdataindataindataindataindataindataindataindataindataindataindataindataindataindataindataind

12、atain=00000000; end case;end if; data=datain;end if;end process;END art;分频器library ieee;use ieee.std_logic_1164.all;entity fpq is port(clk:in std_logic; newclk:out std_logic);end entity fpq;architecture art of fpq is signal cnter:integer range 0 to 999; begin process(clk)is begin if clkevent and clk=1 then if cnter=999 then cnter=0; else cnter=cnter+1; end if; end if; end process; process(cnter)is begin if cnter=999 then newclk=1; else newclk=0; end if; end process;end art;工程图:14

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!