51单片机驱动LCD1602程序设计(C语言)

上传人:小** 文档编号:37491555 上传时间:2021-11-03 格式:DOC 页数:83 大小:4.12MB
收藏 版权申诉 举报 下载
51单片机驱动LCD1602程序设计(C语言)_第1页
第1页 / 共83页
51单片机驱动LCD1602程序设计(C语言)_第2页
第2页 / 共83页
51单片机驱动LCD1602程序设计(C语言)_第3页
第3页 / 共83页
资源描述:

《51单片机驱动LCD1602程序设计(C语言)》由会员分享,可在线阅读,更多相关《51单片机驱动LCD1602程序设计(C语言)(83页珍藏版)》请在装配图网上搜索。

1、51单片机驱动LCD1 602程序设计(C语言)字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此HD44780写 的控制程序可以很方便地应用于市面上大部分的字符型液晶。字符型LCD通常有14条引脚线或 16条引脚线的LCD,多出來的2条线是背光电源线VCC(15脚)和地线GND(16脚),其控制原理 与14脚的LCD完全一样,定义如下表所示:字符型LCD的引脚定义引脚号引脚名电平输入/输出作用1Uss电源地2Ucc电源(+SU)3Uee对比调整电压4RS0/1输入1 齬入数据5R/W0/1输入A向LCD写入指今或数据 从LCD读取信壷6E1 ,1-*0输入使能信号,H

2、吋诱取信息. 下降沿)执希指令7DBG0/1输入/输出数据总线line 0(最低位)8DBH0/1输入/输出数据总线丄inR9DB20/1输入/输出数据总线丄5E21 0DB30/1输入/输出数据总线ne3110/1输入/输出数据总线丄in*12DBS0/1输入/输出数据 Saline?13DB60/1输入/输出数据总线丄5DB70/1输入/输出数据总线line?(最高位)15A*UccLCD背光电源正极16K接地LCD背光电源负极HD44780内置了 DDRAM. CGROM和CGRAMc DDRAM就是显示数据RAM,用來寄存待显示的字符代码。共80个字节,其地址和屏幕的对应关系如下表:显

3、示位置123*56740DDRAM笫一行09H01H02H03H04H05H06 H27H地址第二行49HU2H43HU5HA6H67H也就是说想要在LCD1602屏幕的第一行第一列显示一个”A”字,就要向DDRAM的00H地址写入“A”字的代码就行了。但具体的写入是要按LCD模块的指令格式来进行的。在1602中我们用前16个就行了。第二行也一样用前16个地址。对应如下:40H 41H 42H 43H iplH 45H 46H *l7H 48H U9H 4fiH 4BH 却CH 4DH 4EH lFH来 1 2 3 4 5 6 7 8 910111213141516OxCO0x800x8F文本

4、文件中每一个字符都是用一个字节的代码记录的。一个汉字是用两个字节的代码记录。在PC上我们只要打开文本文件就能在屏幕上看到对应的字符是因为在操作系统里和BIOS里都固化有字符字模。什么是字模?就代表了是在点阵屏幕上点亮和熄灭的信息数据。例如“A”字的字模:011100.010001BOOOB10001ooo.10001BOOOB11111这一组数据送到显卡去点亮屏幕上相应的点,你就看到“A”这个字了。刚才说了想要在LCD1602屏幕的第一行第一列显示一个”A”字,就要向DDRAM的00H地址 写入“A”字的代码41H就行了,可41H这一个字节的代码如何才能让LCD模块在屏幕的阵点上显 示“A”字

5、呢?同样,在LCD模块上也固化了字模存储器,这就是CGROM和CGRAM。HD44780 内置了 192个常用字符的字模,存丁字符产生器CGROM(Character Generator ROM)中,另外还 有8个允许用户白定义的字符产生RAM,称为CGRAM(Character Generator RAM)O下图说明了CGROM和CGRAM与字符的对应关系。UGFOd中字符码与字字符字模关系对照表00000001001000110100010101100111100110101011110011011110xxxxOODO& oTRrxxxxOODI! HIHIQIaHn|7|axxxxOO

6、IOXX3CXO011xxxxOIDDxxxxOl 01xxxxOIIOxxxx0111 xxxx 10CM)wmxxxx1OD1-|2|B|R|b|r| #|3|C|S|c|s| *|4|D|T|d|t|&|6|F|U|m|7 |7|G|W|-gjw|CISIHIXIhlxll9IHV|i|y|引划二曰Id-IISIUI-r曰F.ML尸xxxx1010xxxx1011+ l= IJIZUIs +rrrKTOFPciniiiinjTrlVUzlnFxxxxllCM)xxxxl 1D1.KIL|1|I一 I=iitom r?xxxx1110.lINrin|XX3CX1111x|?|0|_|oKI

7、-y|u|7?|n o1111UHX=FT=iRIwen.匸 aLO.pi:ogT:a.mfa.iiuomblog, lluwentao . net从上图可以看出,“A”字的对应上面高位代码为0100,对应左边低位代码为0001,合起来就 是01000001,也就是41Ho可见它的代码与我们PC中的字符代码是基本一致的。因此我们在向 DDRAM写C51字符代码程字时共至可以直接用P1 =7V这样的方法。PC在编译时就把“A”先转为 41H代码了。字符代码0x00OxOF为用户口定义的字符图形RAM(对于5X8点阵的字符,可以存放8组, 5X10点阵的字符,存放4组),就是CGRAM 了。后面我

8、会详细说的。0x200x7F为标准的ASCII码,0xA0OxFF为日文字符和希腊文字符,其余字符码(0x10 0x1 F及0x800x9F)没有定义。那么如何对DDRAM的内容和地址进行具体操作呢,下面先说说HD44780的指令集及其设置说明,请浏览该指令集,并找出对DDRAM的内容和地址进行操作的指令。共条指令:1淸屏指令指令功能拒令编码/nsRSR/VDB7DB6DB5DB4DB3DB2DB1DB000000000011.6i*功能:清除液晶显示器,即将DDRAM的内容全部填入”空白,的ASCII码20H;2光标归位,即将光标撤回液晶显示屏的左上方;AC1 -2.湖利I位指令指令功能拒令

9、编羽/nsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0光标归位000000001X1.64功能:1把光标撤回到显示器的左上方;2把地址计数器(AC)的值设置为0;3保持DDRAM的内容不变。3.进入模式设置指令指令功能扌旨令编码/U5RSR/WDB7DB6DBSDB4DB3DB2DB1DB0进入模式设置00000001I/OS40功能:设定每次定入1位数据后光标的移位方向,并且设定每次写入的一个字符是否移动。参数设定的情况如下所示:位名设置I/D 0二写入新数据后光标左移1二写入新数据后光标右移S 0二写入新数据后显示屏不移动1二写入新数据后显示屏整体右移1个字符4.显示开关控制

10、捋令齢功能I I,讐解,離RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DBO /USD0=显水功能关1二显示功能开c0=无光标B0二光标闪烁1二光标不闪烁5 设定显示屏或光标移动方向指令.指令功能指令编码执斤 吋I可/U5RSR/WDB7DB6DB5DB4DB3DB2DB1DB0000001S/CR/LXX40功能:使光标移位或使整个显示屏幕移位。参数设定的情况如下:S/CR/L0001设定情况光标左移1格,且AC值减1光标右移1格,且AC值加1显示器上字符全部左移一格,但光标不动 显示器上字符全部右移一格,但光标不动指令功能指令编码/usRSR/WDB7DB6DB5

11、DB4rDB3DB2DB1DB0功能设定00001DLNFXX40RIA e功能:设定数据总线位数、显示fML52位名设置1二数据总线为8位DL0二数据总线为4位N0二显示1行F0=5x7点阵/每字符仁显示2行1=5x10点阵/每字符6 功能设定指令7设定CGRAM地址指令指令功能指令编码1/USRSR/WDB7DB6DB5DB4DB3 DB2DB1DB0设定CGRAM 地址0001CGRAM的地址(6位)40功能:设定下一个耍存入数据的CGRAM的地址。8设定DDRAM地址指令指令功能担令编码1/USRSR/WDB7DB6DB5DB4DB3DB2DB1DB0设定DDRAM001CGRAM的地

12、址(7位no功能:设定下一个要存入数据的CGRAM的地址。9读取忙信号或AC地址指令.扌旨令功能扌旨令编码/U5RSR/WDB7DB6 DB5 DB4 DB3 DB2 DB1 DBOr鸞髓号01FB也内容(7位)40暂读取忙碌信号BF的P指令V BL 口 功能;或指佥;当BF=O时,液晶显示器,BF=1表示液晶显示2读取地址计数器(AC)的内容。10.数据写入DDRAM或CGRAM指令一览拒令功能拒令编码/usRSR/WDB7DB6DB5DB4DB3DB2DB1DB0数据写入到DDRAM或 CGRAM10要写入的数据刃叽40功能:将字符码写入DDRAM,以使液晶显示屏显示出相对应的字符;2将使

13、用者白己设计的图形行入CGRAMo从CGRAM或DDRAM读出数据的指令一览拒令功能指令编码/usRSR/WDB7DB6DB5DB4DB3 DB2DB1DBO从CGRAM或DDRAMi真出 数据11要读岀聚数据D7DQ40功能:读取DDRAM或CGRAM中的内容。基本操作时序:读状态 输入:RS=L, RW=H, E=H输出:DBODB7=状态字显示操作的过程:首先确认显示的位置,即在第儿行,第儿个字符开始显示。也就是要显示的地址,如下表所示的显示地址。第一行的显示地址是0x80-0x8F,第二行的显示地址是OxCO-OxCFo例如想要在第2行,第3个位置显示一个字符,那么地址码就是0xC2在

14、编程过程中,通常编写一个函数确定在某行某个位置显示捱匚函数需耍行参数(y),和列参数(x)來确定显示位置。程序参考如下设置显示位置 * * *void LCD_set_xy( unsigned char x, unsigned char y )unsigned char address;if (0 = y) x |= 0x80; 当要显示第一行时地址码+0x80; else x | = 0xC0; /在第二行显示是地址码+ OxCO;Write_com(x); 发送地址码 0x800x8F 或者 OxCO-OxCF其次设置要显示的内容,即上面提到的CGROM内的字符编码。如显示“A”,将编码4

15、1 H写 入到液晶屏显示即可。通常设置地址和显示内容用一个函数来完成。代码参考如下:功能:按指定位置显示一个字符/输入:列显示地址x(収值范fflO-15)行显示地址y(取值范R0-1),指定字符void DisplayOneChar(unsigned char x, unsigned char y, unsigned char Data)if (0 = y) x |= 0x80; 当要显示第一行时地址码+0x80;else x | = OxCO; /在第二行显示是地址码+ OxCO;Write_com(x); /发送地址码Write_dat(Data); 发送要显示的字符编码 显示字符“A”

16、调用过程如下代码:DisplayOneChar (0, 0, 0x41 );void DisplayListChar (unsigned char x,unsigned char y,unsigned char* p,unsigned char count)unsigned char i;for(i= 0;i0;i-)for0=O;j2;j+);在这个延时循环函数中我只做了 2次循环,/实践证明我的LCD1602上普通的指令只需1次循环就能可幕完成。/*主函数*void main(void)wniie( I);/*具体电路的制作是很简单的,就接了两个电阻,一个是10欧姆的背光限流电阻,另一个是

17、 2K的LCD极板电床调节电阻。这两个电阻的阻值怎么定呢?背光比较简单,它就相当于在后而接 了儿个发光二极管,任何时候你只要在15、16脚串上个100欧的电位器接上电源,调节电位器, 觉得亮度合适。此时的阻值便可。LCD液晶极板驱动电斥调节电阻的确定就稍微麻烦一点。在各 数据线,控制线接好关通上电源的前提下在第3脚(VEE)和地之间接一个10K的电位器。调节电位 器。当3脚电圧高时为全亮,电压为0时为全暗(液晶全显示为黑块)。你用电位器把屏幕从全暗刚好调到变亮。这时便可调试程序。待屏幕能正确显示后再细调电位器,使对比度合适。这时的阻値便可确定,然后换成等值的固定电阻焊上便可。16FLECTRO

18、IITE9Q94V-0MADE IN TAIWAN组装后:具体电路图:接口说明:我们从CGROM表上可以看到,在表的最左边是一列可以允许用户自定义的CGRAM,从上 往下看着是16个,实际只有8个字节可用。它的字符码是00000000-00000111这8个地址, 表的下面还有8个字节,但因为这个CGRAM的字符码规定02位为地址,3位无效,4一7全 为零。因此CGRAM的字符码只有最后三位能用也就是8个字节了。等效为0000X111, X为无效位,最后三位为000-111共8个。如果我们要想显示这8个用户自定义的字符,操作方法和显示CGROM的一样,先设置DDRAM位置,再向DDRAM写入字

19、符码,例如“A”就是4伯。现在我们要显示CGRAM的第一个白定义字符,就向DDRAM写入00000000B(00H),如果要显示第8个就写入00000111(08H),简单吧!pTff快再找出來看看。9 HLI37.设定CGRA哋址担令ZX5S*令功能指令编码1/USRSR/WDB7DB6DB5DB4DB3DB2DB1DBO设定CGRAM地址0001CGRA啲地址(6位)40功能;设定下一个要存入数据的CGRAM的地址。从这个指令可以看出指令数据的高2位已固定是01,只有后面的6位是地址数据,而这6位 中的高3位就表示这八个白定义字符,最后的3位就是字模数据的八个地址了。例如第一个自定 义字符

20、的字模地址为01000000-01000111 个地址。我们向这8个字节写入字模数据,让它能 显示出“C”地址:01000000数据:00010000图示:ooooooo0100000100000110OOOOOBBO0100001000001001OOOOBOOB0100001100001000OOOOBOOO0100010000001000OOOOBOOO0100010100001001OOOOBOOB01000110010001110000011000000000ooooobbooooooooo可以通过手动提取的方法。如下图所示,对应一个字符显示区域。每8个字节,组成一个点阵数组。MLT

21、fi十诳 制十k i&JASCII宁符0000自圭文宁符10 10 1自走乂字待20202自臣乂宁符30303自走火宁符4040-4自尘火字待50505自定乂宁符60606自圭火字持70707自定乂宁符8OSOS自走火宇捋10909自左火宁符210OA自左义宁符31 1OB自圭火宁符41 2OC自左乂宁符51 3OD自臣丸宁持6。” 114F自左乂宁符71 5OF广亠一.一一亠 W一terftTL_一15/xArw.eeliQme.“ET的点阵数组即为 0x1 f90x11,0x11,0x1 f,0x11,0x11,0x1 f,0x0000011111000100010001000100011

22、1110001000100010001001111Ox1f0x110x11Ox1f0x110x11Ox1fOOCOOOOO 0x00辛 www.ee liome.CTi)车”字取模数组为: 0x00,OxOf,0x02,0x04,0x07,0x00,OxOf,0x00,0x1 0,0x1 e,0x 00,0x1 0,0x1 c, 0x1 0,0x1 e, 0x1 0仔储8组自定义字符的;旳g字符点阵的存储,总共64 丿诒丈64字节CGRAM #储器,胡 S-7W纽,8-15为第二组,WLSS字节击组存储到CGRAM中,程片功能:将I定义了符的编码数组与入到CGRAr输入:自定义字符的编码数组v

23、oid Write_CGRAM(unsigned char * p)unsigned char i,j,kk;unsigned char tmp= 0x40; 操作 CGRAM 的命令码kk=O;for(j=0;j8;j+ + )/64字节存储空间,可以生成8个自定义字符点阵for(i=0;i8;i+ + )/ 8个字节生成1个字符点阵Write_com(tmp+ i);/操作 CGRAM 的命令码 + 写入 CGRAM 地址.Write_dat(pkk);与入数抓kk+ +;tmp + = 8;上一步中,自定义字符存储到CGRAM的任意一组以后,每一个组(8个字节)也有一个显 示编码。按顺序

24、依次为00H-07H o显示时,只要调用每一组的编码,即可以显示相应的字符。注:内部常用字符显示时,显示编码是从0x20开始的。0x00-0x0f是专门留给口定义字符显示 的。0x000x07和0x08 0x0f内容是一样的。例如:调用0x01位置和0x09位置,显示的 内容是一样的。宜接按照单个字符的显示方式调用显示函数,就可以显示自定义字符了。代码参考如下: 在第1行,第7个位置显示自定义汉字“年”DisplayOneChar(6,0,0); /显示”年” /CGRAM 码 00单个和两个点阵的汉字显示:图形显示效果:下面一段程序让这8个自定义字符显示出一个心的图案:# in elude

25、unsigned char tablel =0x03,0x07,OxOf,0x1 f,0x1 f,0x1 f,0x1 f,0x1 f,0x18,0x1 E,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,Ox1f,0x1(,0x11,0x10x10x00x07,0x01,0x1 f,0x1 f,0x1 f,0x1 f, 0x1 f,0x1 c,0x18,0x00,0x1 c,0x18,0x10,0x00,0x00,0x00,0x00,0x00;/ 心图案unsigned char table=0x10,0x06,0x09,0x08,0x08,0x09,0x06,0x00;/字符C#

26、define CLEARSCREEN LCD_write_command(0x01)/*定义接口*#define LCDIO P2 sbit LCD1602_RS=P3A0;sbit LCD1602 RW=P3A1;sbit LCD1602 EN=P3A2;MB广,足 义函 *void LCD_write_comma nd(unsigned char comma nd);/写入指令函数void LCD_write_dat(unsigned char dat);/写入数据函数void LCD_set_xy( unsigned char x, unsigned char y );/设置显示位置函数

27、void LCD_dsp_char( unsigned x,unsigned char y,unsigned char dat);/显示一个字符函数void LCD_dsp_string(unsigned char X,unsigned char Y,unsigned char *s);/显示字符串函数void LCDit(void);/初始化函数CLEARSCREEN;/clear screenLCD_write_command(0x38);/set 8 bit data transmission mode LCD_write_command(0x0c);/open display (ena

28、ble led display) LCD_write_command(0x80);/set led first display address CLEARSCREEN;/clear screen*void LC D_write_com ma nd(unsigned char comma nd)LCDIO=command;LCD1602 RS=0;LCD1602 RW=0;LCD1602 EN=0;LCD1602 EN=1;delay_ nm s(10);LCDIO=dat;LCD1602_RS=1;LCD1602_RW=0;LCD1602_EN=0;delay_ nms ;LCD1602_EN

29、=1;void LCD_set_xy( unsigned char x, unsigned char y ) unsigned char address;if(y =1)address = 0x80 + x;elseaddress =0xc0+ x;LCD_write_comma nd(address);LCD_set_xy( x, y );LCD_write_dat(dat);显示字符串函数mm*/void LCD_dsp_string(unsigned char X,unsigned char Y,unsigned char *s)LCD_set_xy( X, Y );while (*s)

30、LCD_write_dat(*s);s+;*/延时void delay_nms(unsigned int n)unsigned int i=0,j=0; OLDfor (i=n;i0;i-):for (O;jv1O;j+);/*am主函 */void main (void)unsigned char ij,k,tmp;LCD_init();delay_ nm s(100);tmp=0x40;/设置CGRAM地址的格式字k=0;for(j=0;j8;j+)for(i=0;i8;i+)LCD_write_command(tmp+i); / 设置白定义字符的 CGRAM 地址 delay_ nms(

31、2);LCD_write_dat(table1k); 向CGRAM写入白定义字符表的数据 k+;LCD_dsp_string(1,1,LCD TEST”);/在第一行第一列显示“LCD TEST*LCD_dsp_string(1,2, “SUCCESSFUL”);在第二行第一列显示 “SUCCESSFUL”for (i=0;i4;i+)LCD_dsp_char( 12+i,1,i);/在第一行第12列位置显示心图案的上半部 delay_ nms ;for (i=4;i8;i+)实际效果如图:LCD_dsp_char( 12+i-4,2,i);在第二行第12列位置显示心图案的下半部delay_

32、nm s(1);while (1);*/*LCD 1602编程实例*标题:LCD1602滚动显示*Me* *描述:LCD 1602滚动显示,*显示方式:* 1从左到右逐字显示,闪动二次,清屏。*2、再从右到左逐字显示,闪动二次,清屏。*3周期性地重复上述显示方式。*:* * 卄*/#mclude #mclude tvpedef unsigned char BYTE; tvpedef bit BOOL;sbit LCD_RS = P2A0;char code SST5163 _at_ 0x003b;delav(uit ms)/延时子程序mt i;while(ms)foi(i = 0; i LCD

33、 第一行显示:llove 8051 mcu”* LCD 第二行显示:13714407535*2、先将两行字符写入DDRAM中,然后从右至左逐字移出显示。*3在指定位置停留1.6秒后,闪烁2次,清屏。*4.反复循环上述显示方式。*include #include #defliie uchai unsigned chai #define unit unsigned intsbit LCD_RS = P2A0; sbit LCD_RW = P2A1;/*延时子程序严严*”* char code SST5163 _at_ 0x003b;void delay(mt ms)int 1;while(ms-)

34、foi(i = 0; i 250; 1-H-)_nop_0;_nop_Q;_nop_Q;_nop_0;严严检査LCD忙状态/*lcd_busy为1时,忙,等待。lcd-busv为0时,闲,可写指令与数据。bit lcd_busyQ bit result;LCD_RS = 0;LCD_RW = 1;LCD_EN=1;_nop_Q; _nop_();_nop_0;_nop_0;严写指令数据到LCD/*RS=L, RW=L,己=高脉冲,D0-D7=指令码。void lcd_wcmd(uchai cmd) wlule(lcd_busyO); LCD_RS = 0; LCD_RW = 0; LCD_EN

35、 = 0;_nop_0;_nop_0; Pl = cmd;_nop_0;_nop_0;_nop_Q;_nop_0;LCD_EN=1;_nop_0;_nop_Q;_nop_0;_nop_0;LCD_EN = 0;SIC*/严严写显示数据到LCD/*RS=H, RW=L, E=高脉冲,D0-D7=数据。严*/ void lcd_wdat(uchar dat)while(lcd_busyO); LCD_RS = 1;LCD_EN= 1;_nop_0;_nop_0;_nop_0;_nop_0;LCD_EN = 0;严/*设定显示位置严严水*“”*/数据指针=80十地址变最void lcd_pos(uc

36、liai pos) lcd_wcmd(po s|0x80);*4c*4c*4c*4c4c4c*4c4c*4c*4c*4c*4c4c*4c4c*4c4c*y/* LCD初始化设定*4c*4c*4c*4c*4c4c*4c*4c*4c*4c*4c4c*4c*4c*4c4i4c4c*4c4c*4c*/void lcd_init()delay(15); lcd_wcmd(0x38); delay(5);lcd_wcmd(0x38); delay ; lcd_wcmd(0x38); delay(5);等待LCD电源稳定/16*2显示,5*7点阵,8位数据lcd_wcmd(0x0c);显示开,关光标dela

37、y(5);严/*清屏子程序严/*方*void lcd_cli()lcd_wcmd(0x01); delay(5);清除LCD的显示内容严水*/闪动子程字*:*:*void flashydelay(600), lcd_wcmd(0x08); delay(200); lcd_wcmd(OxOc); delay(200); lcd_wcmd(0x08); delay(200); lcd_wcmd(OxOc); delay(200);控制停留时间 关闭显不延时开显示关闭显示延时开显示Me*/*主程序*4c*4c*4c*4c*4c4c*4c*4c*4c*4c4c*4c*4c*4c*4c*4c*4c*4c

38、*mam()lcd_clr();lcd_pos(OxlO);1 = 0;设置显示位置为第一行第17列显示字符while(disli != W) lcd_wdat(disli); iflcd_pos(0x50);i = 0;设置显示位置为第二行第17列while(dis2i != W)lcd_wdat(dis2i); i卄;显示字符”foi(j=0;j16;j+)lcd_wcmd(0xl8);delay(lOO);flash();向左移动16格字符同时左移一格 控制移动时间闪动二次include #include #defiiie uchai unsigned char #defuie umt unsigned mtsbit BEEP = P2A7; uchai dis_buf; ucliai temp; uchai key;蜂鸣器驱动线显水缓存键顺序吗char code SST5163 _at_ 0x003b;void beep(J;/& 鸣器void delayO(uchar x);/x*0.14MS#define delavNOP(); _nop_0;_nop_0;_nop_();_nop_0;;/*/*/*延时子程字水*:*:*:*:*void delay(ucliai x) ucliai j;wlule(x-)!=0) for(j=0;j125;j-H-) ;*

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!