EDA实验报告60S电子闹钟

上传人:1666****666 文档编号:37048636 上传时间:2021-11-01 格式:DOC 页数:10 大小:193.20KB
收藏 版权申诉 举报 下载
EDA实验报告60S电子闹钟_第1页
第1页 / 共10页
EDA实验报告60S电子闹钟_第2页
第2页 / 共10页
EDA实验报告60S电子闹钟_第3页
第3页 / 共10页
资源描述:

《EDA实验报告60S电子闹钟》由会员分享,可在线阅读,更多相关《EDA实验报告60S电子闹钟(10页珍藏版)》请在装配图网上搜索。

1、 EDA实验报告 题 目 60秒电子闹钟 学 院 电子工程学院 专 业 学生姓名 导师姓名 初秀琴 一 功能描述电路上电后自动计时,到达预置的闹响时刻后,由扬声器发出音乐报警。闹响时刻可利用DIP开关设置,两位数:059。二 设计思路电路主要由分频器、M60计数器、闹铃电路、显示电路等部分组成。秒信号脉冲可由分频器产生,用DIP开关设置闹响时刻,当M60计数器的输出与设置的闹响时刻相等时,闹铃电路输出脉冲驱动扬声器发出音乐报警。总体设计思路如图1所示:七段显示扬声器显示电路DIP开关闹铃电路M60计数器高频脉冲分频器图1 总体设计思路三 功能模块1 分频器程序代码如下:library ieee

2、;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity devide isport(clk:in std_logic; -输入时钟clk_out:out std_logic -输出信号);end devide;architecture arc_devide of devide issignal count:std_logic_vector (14 downto 0); -定义内部信号beginprocessbeginwait until clkevent and clk=1;if(count32767)then -

3、改变最大计数值即可得到不同的分频系数count=count+1;clk_out=1;end if;end process;end architecture arc_devide;符号图如图2所示:图2 分频器符号图2 M60计数器程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcd_m60 isport (CLK:in std_logic;EN:in std_logic;CR:in std_logic;QL,QH:out std_logic_vector(3 down

4、to 0) -8421BCD码个位、十位输出);end bcd_m60;architecture behav of bcd_m60 issignal couL,couH:std_logic_vector(3 downto 0);beginprocess(CR,CLK)beginif CR=0 then -异步复位couL=0000;couH=0000;elsif clkevent and clk=1 then if EN=1 then if (couL=9 and couH=5) then -个位计到9十位计到5回零couL=0000;couH=0000;elsif couL=9 then -

5、个位计到9回零十位加1couL=0000;couH=couH+1;elsecouL=couL+1; -否则个位加1end if;end if;end if;end process;QL=couL;QH=couH;end behav;符号图如图3所示:图3 M60计数器符号图仿真波形如图4所示:图4 M60计数器仿真波形经分析,M60计数器仿真波形正确。3 闹铃电路程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity alert isport (STOP:in std_logi

6、c; -控制是否响铃CLK:in std_logic;DIPL,DIPH:in std_logic_vector(3 downto 0);QL,QH:in std_logic_vector(3 downto 0);SPEAK:out std_logic);end alert;architecture behav of alert isbeginprocess(STOP,CLK,QL,QH)beginif STOP=0 then SPEAK=0;elsif QL=DIPL and QH=DIPH then -输出脉冲驱动扬声器SPEAK tmp tmp tmp tmp tmp tmp tmp t

7、mp tmp tmp tmp tmp tmp tmp tmp tmp null;end case;end process;a=tmp(6);b=tmp(5);c=tmp(4);d=tmp(3);e=tmp(2);f=tmp(1);g=tmp(0);end arc;符号图如图6所示:图6 显示电路符号图四 总体仿真顶层文件原理图如图7所示:图7 顶层文件原理图仿真波形如图8所示:如图8所示,当M60计数器计数与置入时刻相等时,SPEAKOUT为脉冲,驱动扬声器发声,从而实现报警。五 心得体会学习quartus II 的使用花了相当长的时间,虽然最后做出来的电路比较简单,但感觉很有成就感,因为这是我慢慢摸索得到的成果。9

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!