[论文精品]脉冲宽度测量仪设计 开题报告

上传人:1777****777 文档编号:36992885 上传时间:2021-11-01 格式:DOC 页数:10 大小:66.65KB
收藏 版权申诉 举报 下载
[论文精品]脉冲宽度测量仪设计 开题报告_第1页
第1页 / 共10页
[论文精品]脉冲宽度测量仪设计 开题报告_第2页
第2页 / 共10页
[论文精品]脉冲宽度测量仪设计 开题报告_第3页
第3页 / 共10页
资源描述:

《[论文精品]脉冲宽度测量仪设计 开题报告》由会员分享,可在线阅读,更多相关《[论文精品]脉冲宽度测量仪设计 开题报告(10页珍藏版)》请在装配图网上搜索。

1、 毕业设计开题报告学 生 姓 名:学 号:学 院、系:信息与通信工程学院、光电工程系专 业:电子信息科学与技术设 计 题 目:脉冲宽度测量仪设计指导教师: 2011 年 4 月 17 日 毕 业 设 计 开 题 报 告1结合毕业设计情况,根据所查阅的文献资料,撰写2000字左右的文献综述:文 献 综 述1.引言在电子技术及其应用领域中,常需要对各种系统工作的时间特性进行分析测量1,如图1给出的这种时间特性示意图,其中t表示时间脉冲宽度2 3,即指脉冲起始时间和终止时间的持续时间。T表示一个工作周期4,即从脉冲的一个上升沿5到下一个上升的时间。 图1 脉冲宽度与周期很多工业与仪表系统都需要测量脉

2、冲的宽度与周期,如转速传感器、外部系统的门控与选通脉冲,以及PWM (脉冲宽度调制)输入的频率等。因此可以说脉冲宽度和周期是关于脉冲的重要指标6。无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。2. 关于脉冲信号参数的测量,常用的有以下几种方法:2.1 用示波器直接测量脉冲宽度及周期7首先调节示波器延迟控制,使得扫迹的上升沿通过中心水平标尺线和某一垂直标尺线的交点。这时如果波形的下降沿跑出屏幕的范围也没有关系。为了获得更高的测量分辨率,可以提高延迟时基扫描速度。记下屏幕上显示的延迟时间t1。再调节延迟控制,使得波形的下一个下降沿通过同一个标尺交点。再记下屏幕上显示的延迟时间t2。用第

3、二个延迟时间t2减去第一个延迟时间t1,就得到了波形上升沿、下降沿两点之间的时间差t2- t1。这就是脉冲的宽度。然后使用延迟控制下一个脉冲波形的上升沿并记下屏幕上显示的延迟时间t3。t3减去t1即为脉冲的周期。2.2 基于定时/计数器测量脉冲宽度及周期的一般原理8及采用的方法上面介绍了用示波器测量脉冲参数的方法,然而,示波器有时候并不能测出脉冲的宽度及周期,如:当测量低频信号(例如lHz以下低频信号)时,示波器往往无法读出。此时可采用计数法来进行测量脉冲宽度,即以脉宽形成主门,让标准时标信号通过这一主门,计数时标信号就可测得脉冲宽度,原理如图2。脉冲信号时标信号输出信号图2 测量脉冲宽度的基

4、本原理计数法测量9脉冲周期原理框图如图3所示,被测信号为方波脉冲,以此方波脉冲控制门控电路,使主门开放时间等于被测信号周期 TX ,由晶体振荡器(或经分频电路)输出周期为 TS 的时标脉冲在主门开放时间进入计数器,这种测量方法将被测信号周期TX 与 TS 时标进行比较,若在TX 期间内,计数器的计数值为N,则TX=NTS为被测信号的周期。晶振分频主控门控制门计数器译码显示器脉冲宽度图3 测量数字脉冲周期的原理框图当计数脉冲来自高稳定度晶体振荡器,且振荡周期比被测脉冲宽度小2个以上数量级时,该方法的准确度很高。计数器法可能产生的最大误差绝对值不超过计数脉冲周期。2.3.内插扩展法10设tx1为上

5、述被测脉冲的实测值,则tx=Nt0+(t1-t2),tx1=Nt0,tx- tx1= t1-t2就是计数器法的世纪误差,其中tx是被测脉冲宽度实际值;t0是技术脉冲周期;N是计数脉冲有效个数;t1 和t2是反映测量误差的两个零头时间。内插扩展法就是针对消除或减小t1 和t2误差影响而提出的,其基本原理是:用上述计数器法测得tx1,并在t1 和t2时间段内,用恒流源给电容充电,然后以比充电速度慢得多的速度使电容放电至充电前的状态,充、放电速度相差的数量级可由需要而定由于放电时间很长,可用计数器法测得放电时间根据充、放电速度的比例关系便可折算出充电时间,即t1 和t2,进而计算出tx。 虽然t1

6、和t2的测量仍有误差,但充、放电速度相差越犬,误差越小。在微型计算机、特别是单片机引入电子测量仪器后,内插扩展法得以方便地运用。测量时,被测脉冲宽度主体部分的计数测量、t1 和t2时间段的电容充放电控制、数值计算处理及测量结果的显示输出等都可由单片机完成,从而大大提高测量的准确度和自动化程。2.4基于单片机的脉冲宽度与周期的测量11基于单片机的脉冲宽度及周期原理方框图如图4所示。输入信号通过脉冲形成电路进行放大与整形(可由放大器与门电路组成),然后送到单片机入口,单片机计数脉冲的输入个数,计数结果经LED数码管显示,从而得到被测信号频率。其中单片机可选择AT89C5112等。单片机计数、控制L

7、ED显示电路被测信号图4 脉冲宽度及周期原理方框图3.脉冲测量的发展方向随着EDA13技术的迅速发展,在EDA软件平台上,根据硬件描述语言VHDLE14 完成的设计文件, 自动地完成逻辑编译、化简、分割、综合、优化、布局线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作成了脉冲测量的发展方向。采用此种发法,设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLDl1 15器件,就可以得到最后的设计结果。参考文献:1 陈立,王厚军,田书林等现代测试技术M成都:电子科技大学出版社,20021-32 刘英.脉宽的测量和参数分析.电

8、子信息对抗技术.2007,22(5):1-63 吴大正.信号与线性系统分析.高等教育出版社.20054 阎石. 数字电子技术基础.第四版.北京:高等教育出版社,1998 5 韩焱,张艳花,王康谊.数字电子技术基础.北京:电子工业出版社.2009:29-356 樊昌信,曹丽娜.通信原理. 北京:国防工业出版. 20077 李玮.示波器的使用与检测技巧. 北京:化学工业出版社.20088 巨小宝,崔晓俊,山燕妮.脉冲宽度测量新技术. 电讯工程.1998:1-29 彭文竹.基于8253的脉冲周期测量电路的设计及实现.现代计算机.2010:159-16010 路立平,杜峰,鹿晓力.电脉冲宽度的数模混合

9、测量法.郑州轻工业学院学报(自然科学版).2003,18(4):1-411 刘竹琴,白泽生. 一种基于单片机的数字频率计的实现.现代电子技术.2010:90-9112 穆兰单片微型计数机原理及接口技术.北京:机械工业出版社,199713 朱正为.EDA技术与应用.北京:清华大学出版社,200514 曾繁泰,陈美金VHDI 程序设计北京:清华大学出版社,200515 郭照南.电子技术与EDA技术课程设计中南大学出版社,2002:102-125 毕 业 设 计 开 题 报 告本课题要研究或解决的问题和拟采用的研究手段(途径):1.本课题要研究或解决的问题传统的数字系统设计是采用至下而上的设计方法,

10、利用真值表,布尔方程和状态图等进行的状态设计,在不考虑测量速度的情况下,目前最准确的脉冲宽度和脉冲时间间隔测量法是沿用多年的内插扩展法。但该法无法实现对一连串脉冲的连续、实时测量,已经不适合于完成当今大规模集成数字系统设计。然而解次这一问题又有着现实的需要。所以本文在分析对比几种脉冲宽度和周期测量方法的基础上,提出另一种测量方法基于VHDL的脉冲宽度测量仪的设计。该设计具体工作内容及要求为: 测量信号的周期和脉冲宽度。 测量范围50us50ms。 误差小于1%2. 本次设计拟采用的研究手段本次设计准备利用强大的EDA技术的支持,以VHDL为主要设计手段,充分开发利用CPLD(Complex P

11、rogrammable Logic Device复杂可编程逻辑器件)芯片丰富而灵活的逻辑资源,以实现电脉冲宽度和脉冲时间间隔的准确、快速、实时测量,即利用EDA工具,基于VHDL的自定而下的设计发法,该方法流程图如图5所示。设计任务书行为仿真建立模型级联建模功能仿真测试向量生成逻辑综合前端功能仿真设计完成硬件测试门级时序仿真机构综合图5 基于VHDL的数字系统的自顶而下设计流程该设计方法与传统的设计方法相比,具有外围电路简单,程序修改灵活和调试容易等特点。特别是在设计的初期阶段可以通过软件仿真来预知设计方案的可行性,便于及时的调整设计方案,避免了传统方法中到项目开发的后期才发现方案不妥,从而造

12、成人力、物力的浪费。同时,在本设计中用到的CPLD器件运行稳定可靠,可反复擦写,便于系统的维护与更新。3.设计总体框图及原理脉冲宽度测量仪的框图如图六所示,它主要由石英晶体振荡器、分频电路、控制电路、控制门、主控门、计数器、译码器和显示器等组成。通过开关的控制,该电路可分别测量待测信号的周期或脉冲宽度。当开关位于A时,是一周期测量电路;当开关位于B时,是一脉冲宽度测量电路。如需改变测量精度,只要对分频器的分频数相应调整即可。晶体振荡器门控电路主控门分频器计数器译码显示测量启动信号被测信号图6 测量电路总框图在分测量启动信号和被测信号共同作用下,门电路输出一个宽度等于被测脉冲周期的正脉冲,这时控

13、制门输出一个宽度等于被测脉冲宽度tx的正脉冲给主控门,其另一个输入端输入周期T=1ms的时标信号,通过主控门送计数译码显示电路进行技术显示。当被测的第一个正脉冲结束时,控制门输出低电平,主控门随之关闭输出低电平,计数结束,显示器的数字为被测脉冲宽度的时间。每进行一次测量,只能测一个正脉冲宽度的时间。时标信号是由石英晶体振荡器输出的脉冲信号经过若干次分频后获得周期T=1ms(f=1kHz)的脉冲。由于石英晶体振荡器的输出脉冲的频率准确而稳定,因此,时标脉冲的周期T=1ms 是很准确的,用其作为计时标准,可提高计时的准确度。4.今后工作安排运用自顶向下的设计思想,可以将系统按功能逐层分割,然后对各层进行设计。在顶层对内部各功能块的连接关系和对外的接口关系进行描述,而功能块的逻辑功能和具体实现形式则由下一层模块来描述。根据脉宽测量的系统原理框图。运用自顶向下的设计思想,设计各层电路,并对各功能模块采用VHDL语言描述。完整的程序编译通过后,然后通过 MaxPlus仿真软件运行仿真器进行仿真。仿真结果正确,则证明此次设计成功。 毕 业 设 计 开 题 报 告指导教师意见: 指导教师: 年 月 日所在系审查意见: 系主任: 年 月 日9

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!