电梯的控制器电路设计EDA技术课程设计

上传人:1777****777 文档编号:36821432 上传时间:2021-11-01 格式:DOC 页数:24 大小:306KB
收藏 版权申诉 举报 下载
电梯的控制器电路设计EDA技术课程设计_第1页
第1页 / 共24页
电梯的控制器电路设计EDA技术课程设计_第2页
第2页 / 共24页
电梯的控制器电路设计EDA技术课程设计_第3页
第3页 / 共24页
资源描述:

《电梯的控制器电路设计EDA技术课程设计》由会员分享,可在线阅读,更多相关《电梯的控制器电路设计EDA技术课程设计(24页珍藏版)》请在装配图网上搜索。

1、山东建筑大学信息与电气工程学院学院课程设计说明书目录摘 要2正文31设计目的及要求32设计原理32.1 设计实现原理32.2项目分块及其实现方案52.3电梯控制器的流程图63设计内容73.1基本状态设计73.2模块设计83.3波形仿真9总结与致谢13参考文献14附录15摘 要电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。因此要加大对电梯技术的创新和发展,提升电梯的性能,就需要引进更好的技术,电梯控制器就是很好的装置,大力开发控制器是很必要的。 电梯的微机化控制

2、主要有以下几种形式:PLC控制;单片机控制;单板机控制;单微机控制;多微机控制;人工智能控制。本次课程设计采用了EDA技术进行操作EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。本设计采用Verilog HDL语言的编程技术,源程序Altera公司的Quartus II软件仿真。关键字:EDA技术; Verilog HDL语言; Quartus II软件正文1设计目的及要求1、设计一个6层电梯控制器电路,用数码管显示电梯所在楼层号,电梯初始状态为第一楼层;每楼层电梯外都有上、下楼请求开关,电梯内设有乘客到达

3、楼层的请求开关、电梯所处楼层、上升模式及下降模式的指示;电梯每2秒升降一层,到达有停站请求的楼层后,经4秒电梯门打开,开门指示灯亮,开门4秒后,指示灯灭,关门,关门时间3秒,电梯继续运行。可以通过快速关门和关门中断信号控制;能记忆电梯内外的请求信号,当电梯处于上升模式时,只响应比电梯所在位置高的楼请求信号,直到最后一个上楼请求执行完毕,再进入下降模式。2、进一步巩固理论知识,培养所学理论知识的在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养Verilog HDL编程、书写技术报告的能力。为以后进行工程实

4、际问题的研究打下设计基础。2设计原理2.1 设计实现原理根据系统设计要求,并考虑到系统的可检验性,整个系统的输入输出接口设计如图1所示:系统工作用2Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。 DTKZQCLKIN LAMPUPIN DOWNINST_CH1 RU_WAITCLOSE ST_OUTDELAY D

5、IRECTRUN_STOP图 1. 电梯控制器DTKZQ的输入输出接口图各输入端口的功能如下:CLKIN:基准时钟信号,为系统提供2Hz的时钟脉冲,上升沿有效;UPIN:电梯上升请求键。由用户向电梯控制器发出上升请求。高电平有效;DOWNIN:电梯下降请求键,由用户向电梯控制器发出下降请求。高电平有效;ST_CH2.0:楼层选择键入键,结合DIRECT完成楼层选择的键入,高电平有效;CLOSE:提前关门输入键。可实现无等待时间的提前关门操作,高电平有效;DELAY:延迟关门输入键。可实现有等待时间的延迟关门操作,高电平有效;RUN_STOP:电梯运行或停止开关键。可实现由管理员控制电梯的运行或

6、停止,高电平有效。一下为输出端口:LAMP:电梯运行或等待指示键,指示电梯的运行或等待状况。高电平有效;RUN_WAIT:电梯运行或等待时间指示键,指示电梯运行状况或等待时间的长短,高电平有效;ST_OUT:电梯所在楼层指示数码管,只是电梯当前所在的楼层数。即16层,高电平有效;DIRECT:楼层选择指示数码管,指示用户所要选择的楼层数,高电平有效。2.2项目分块及其实现方案电梯的控制状态包括运行状态停止状态及等待状态,其中运行状态又包含向上状态和向下状态。主要动作有开、关门,乘客可通过键入开、关门按键,呼唤按键,指定楼层按钮等来控制电梯的行与停。据此,整个电梯控制器DTLZQ应包括如下几个组

7、成部分:时序输出及楼选计数器;电梯服务请求处理器;电梯升降控制器;上升及下降寄存器;电梯次态生成器。该电梯控制器设计的关键是确定上升及下降寄存器的置位与复位。整个系统的内部组成结构图2所示。电梯服务请求处理器时序输出及楼选计数器(DIR)DRECTCLKINUOINDOWNINST_CH电梯升降 控制器(LIFTOR)DELAY电梯次态生成器(LADD)上升请求寄存器(UR)下降请求寄存器(DR)CLOSERUN_STOPLAMPRUN_WAITST_OUT图2. 电梯控制器的内部组成结构图时钟CLK请求信号输入模块楼层请求超 载超 载关门中断提前关门清楚报警控制模块 输出显示模块请求信号显示

8、运行状态显示所在楼层显示门控制信号超载报警 图3. 电梯控制器系统框图2.3电梯控制器的流程图外部按键请求信号寄存器状态寄存器内部软件执行机构外部硬件执行机构图4 总流程图3设计内容3.1基本状态设计1、采用信号并置处理很多输入信号的方式:always (call_up_1 or call_up_2 or call_up_3 or call_up_4 or call_up_5) up_all=1b0, call_up_5, call_up_4, call_up_3, call_up_2, call_up_1; /将各下降请求信号实时地合并(1楼为底层,无下降请求,考虑到通用性,将第1位填零)

9、always (call_down_2 or call_down_3 or call_down_4 or call_down_5 or call_down_6) down_all=call_down_6, call_down_5, call_down_4, call_down_3, call_down_2, 1b0;/将各停靠请求信号实时地合并 always (request_1 or request_2 or request_3 or request_4 or request_5 or request_6) request_all=request_6, request_5, request_

10、4, request_3, request_2, request_1;2、通过参数定义把信号并置的方法和有限状态机联系起来parameter WAIT=7b0000001, UP=7b0000010, DOWN=7b0000100, UPSTOP=7b0001000, DOWNSTOP=7b0010000, OPENDOOR=7b0100000, CLOSEDOOR=7b1000000;/定义楼层的符号常量 parameterFLOOR1=6b000001,FLOOR2=6b000010,FLOOR3=6b000100, FLOOR4=6b001000, FLOOR5=6b010000, FL

11、OOR6=6b100000; parameter TRUE=1b1, FALSE=1b0;/定义门打开和门关闭的符号常量 parameter OPEN=1b1, CLOSED=1b0;/定义电梯上升,下降和静止的符号常量 parameter UPFLAG=2b01,DNFLAG=2b10,STATIC=2b00;3、采用了七个状态实现了有限状态机WAITUPUPSTOPDOWNOPENDOORDOWNSTOPCLOSEDOOR图6.状态转换图黑线:WAIT TO UP TO UPSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT粉红线:WAIT TO DOWN TO D

12、OWNSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT 3.2模块设计1、电梯主控制器模块elevator_controller:此段智能电梯控制器由三个重要部分组成的。(a)信号并置部分,完成对5路向上请求、5路向下请求、6路内部请求的信号并置,化繁为简。(b)三段式有限状态机部分。在有请求的情况下,电梯控制器还要根据电梯的当前状态和当前的楼层去判断电梯的下一步该如何运作。(c)计数器部分。完成电梯的开门、关门的时间管理。 图7.电梯主控模块2、分频模块frequence_div:这段分频器完成对50Mhz的1分频操作。采用传统的“一半就翻转”的计数技巧。 图8.分

13、频模块3、数码管译码模块3.3波形仿真1、当电梯处于初始状态时,电梯在高层有向下的请求时:图92、当电梯停在6楼处于等待状态时,在1楼和2楼同时有向上的请求时:图103、当电梯停在1楼处于等待状态时,在6楼和5楼同时有向下的请求时:图114、当电梯停在6楼时,有在5楼的向下的请求时,电梯应该先到五楼,电梯内部请求到1楼,如果电梯在下降的过程中,有在2楼的向上的请求时,电梯应该先相应内部请求,然后相应外部请求:图125、电梯的强制运行按钮forbid:图13总结与致谢通过本次课程设计进一步熟悉Quartus II软件的使用和操作方法,以及硬件实现时的下载方法与运行方法;对Verilog HDL语

14、言的自顶向下设计方法有了进一步的认识,对其中的许多语句也有了新了解,掌握;对自己独立思考和解决问题的能力也有了很大的锻炼。 本次课程设计设计的选题、课题调研与撰写工作是在和老师的指导下完成的。在我的课程设计期间,同课题的同学都给予了我热情的帮助和无私的指导,在此向这些同学们表示衷心的感谢。在我的学习过程中,杨红娟和王涛老师也给了我耐心的指导和帮助。我在此对各位老师表示诚挚的感谢!参考文献1 黄仁欣EDA技术实用教程北京:清华大学出版社,20062 潘松,黄继业EDA技术与VHDL北京:清华大学出版社,20093 江国强编著EDA技术与应用(第三版).北京:电子工业出版社,20104 夏宇闻编著

15、Verilog HDL数字系统设计教程.北京:北京航空航天大学出版社,20085 周祖成,程晓军,马卓钊编著数字电路与系统教学实验教程北京:科学出版社,20106 周润景,苏良碧基于Quartus II 的数字系统Verilog HDL设计实例详解北京:电子工业出版社,20107 (美国)Sanir Palnitkar 译者:夏宇闻 胡燕祥 刁岚松Verilog HDL数字设计与综合(第2版)北京:电子工业出版社,20098 云创工作室Verilog HDL程序设计与实践北京:人民邮电出版社,20099 刘福奇,刘波Verilog HDL应用程序设计实例精讲北京:电子工业出版社,200910

16、张延伟,杨金岩,葛爱学verilog hdl程序设计实例详解北京:人民邮电出版社,2008附录1、分频模块module frequence_div(cp_50M,cp_1); input cp_50M;/板子提供的最高时钟 output cp_1;/要求得到的秒脉冲 reg 27:0 counter_1;/计数器 reg cp_1;/类型声明 always(posedge cp_50M) begin if(counter_1=28h17D7840)/50M的一半到了,秒脉冲翻转 begin cp_1=cp_1;/翻转 counter_1=28h0000000; end else counter

17、_1=counter_1+1b1;/否则加1 endendmodule2、智能电梯主控制模块module elevator_controller(/input ports: cp_50M,clk,reset,forbid,call_up_1, call_up_2, call_up_3, call_up_4, call_up_5,call_down_2, call_down_3, call_down_4, call_down_5,call_down_6,request_1, request_2, request_3, request_4, request_5, request_6, /outpu

18、t ports:out,LiftState,over_alarm,count_out,count); /output ports: output 6:0out;/输出楼层 output 6:0 LiftState;/输出电梯状态 output over_alarm;/出错 output 6:0count_out;/计数器输出,便于我控制开关门的状态显示 output 2:0count;/计数器 /input ports: input cp_50M; input clk,reset,call_up_1,call_up_2,call_up_3,call_up_4,call_up_5,call_do

19、wn_2,call_down_3,call_down_4,call_down_5,call_down_6,request_1,request_2,request_3,request_4,request_5,request_6;input forbid;/pos与Posout的关系:当前状态一改变,pos立即作相应改变,而PosOut则是当前状态在要变到下一状态的瞬间/,将PosOut作相应改变。如从WAIT切换到第一个UP时,pos立即加一,而PosOut则要等到第一个UP/即将切换到第二个UP时,才加一。/这个说的简单一点,就是移位寄存器,便于对电梯状态的实时的控制wire over_ala

20、rm;wire 6:0out;wire 6:0count_out; reg 5:0pos,PosOut; reg 2:0 count;/门开后要持续5个时钟周期,用count来计数 reg DoorFlag; reg 1:0 UpDnFlag; reg 6:0 LiftState,NextState;/分别表示电梯的当前状态和下一状态 wire real_clk;/up_all,down_all,request_all分别用来合并向上请求的各信号,向下请求的各信号和停靠请求的各信号/以便判断电梯在状态间如何转移时更简洁 reg 5:0 up_all,down_all,request_all;/

21、定义电梯7种状态的符号常量 parameter WAIT=7b0000001, UP=7b0000010, DOWN=7b0000100, UPSTOP=7b0001000 , DOWNSTOP=7b0010000, OPENDOOR=7b0100000, CLOSEDOOR=7b1000000;/定义楼层的符号常量 parameter FLOOR1=6b000001, FLOOR2=6b000010, FLOOR3=6b000100, FLOOR4=6b001000, FLOOR5=6b010000, FLOOR6=6b100000; parameter TRUE=1b1, FALSE=1b

22、0;/定义门打开和门关闭的符号常量 parameter OPEN=1b1, CLOSED=1b0;/定义电梯上升,下降和静止的符号常量 parameter UPFLAG=2b01,DNFLAG=2b10,STATIC=2b00; assign real_clk=(clk&(forbid); /采用屏蔽时钟的方法来实现“电梯强制禁止运行”。方法虽然违反了“同步电路最好/没有时钟门控”的要求,但是在此只要“负面影响”不是很大还是可以接受的。/将各上升请求信号实时地合并(6楼为顶层,无上升请求,考虑到通用性,将第4位填零) always (call_up_1 or call_up_2 or call

23、_up_3 or call_up_4 or call_up_5) up_all=1b0, call_up_5, call_up_4, call_up_3, call_up_2, call_up_1; /将各下降请求信号实时地合并(1楼为底层,无下降请求,考虑到通用性,将第1位填零) always (call_down_2 or call_down_3 or call_down_4 or call_down_5 or call_down_6) down_all=call_down_6, call_down_5, call_down_4, call_down_3, call_down_2, 1b0

24、;/将各停靠请求信号实时地合并 always (request_1 or request_2 or request_3 or request_4 or request_5 or request_6) request_all=request_6, request_5, request_4, request_3, request_2, request_1; always (posedge real_clk or posedge reset) if(reset) count=0; else if(NextState=OPENDOOR)&(count5)/or else if(NextState=OP

25、ENDOOR) count=count+1;/如果计数不到5且下一状态为OPENDOOR的话,继续计数 else count=0;/清零,以备下次计数 /display which floor the elevator is. display_decode h1(cp_50M,PosOut,out,over_alarm); display_decode_count h2(cp_50M,count,count_out); / finite state machine in the style of three segments ,三段式/1st StateShift always block,s

26、equential state transition,有限状态机第一段 always (posedge real_clk or posedge reset) if(reset) begin LiftState=WAIT; end else LiftState0)/有停靠请求否 begin if(request_all&pos)0)/停靠请求中有当前楼层停靠请求否 NextState=OPENDOOR;/有当前楼层请求,则下一状态转开门 else if(request_allpos)/有当前楼层之上的停靠请求否(优先向上的请求) NextState=UP;/有则,下一状态转上升 else Nex

27、tState=DOWN;/只有当前楼层之下的停靠请求,下一状态转下降 end else if(up_all&pos)|(down_all&pos)/上下请求中有当前楼层请求否 begin NextState=OPENDOOR; end else if(up_allpos)|(down_allpos)/上下请求中有当前楼层之上的请求否 NextState=UP; else if(up_all|down_all)/上下请求中有当前楼层之下的请求否 NextState=DOWN; else NextState=WAIT;/无任何请求,继续处于WAIT模式 end UP: begin if(reque

28、st_all&pos)|(up_all&pos)/停靠或上升请求中有当前楼层的请求否 NextState=UPSTOP;/有,下一状态转为UPSTOP(停靠后要1s才开门,UPSTOP即为这1s的过渡期) else if(request_allpos)|(up_allpos)/停靠或上升请求中有当前楼层之上的请求否 NextState=UP; else if(down_all0)/有下降请求否 begin if(down_allpos)&(down_allpos)pos)/下降请求中有当前楼层的请求否 NextState=UP; else if(down_all&pos)|(posFLOOR6

29、) /其实这样做意义不大,只是使上下标志提前变化 NextState=UPSTOP; else if(down_all&pos)&(pos=FLOOR6)/事实上不满足第一条件的话,电梯根本不会到达第四层 NextState=DOWNSTOP; else/下降请求中只有当前楼层之下的请求 NextState=DOWN; end else if(request_all|up_all)/只有当前楼层之上的停靠或上升请求否 NextState=DOWN; else NextState=WAIT;/无任何请求,转为WAIT模式 end/DOWN的状态转移请参见UP的状态转移 DOWN: begin i

30、f(request_all&pos)|(down_all&pos) NextState=DOWNSTOP; else if(request_all&FLOOR1)pos&(request_all&FLOOR1)|(request_all&FLOOR2)pos&(request_all&FLOOR2)|(request_all&FLOOR3)pos&(request_all&FLOOR3)|(request_all&FLOOR4)pos&(request_all&FLOOR4)|(request_all&FLOOR5)pos&(request_all&FLOOR5)|(request_all&F

31、LOOR6)pos&(request_all&FLOOR6) NextState=DOWN; else if(down_all&FLOOR1)pos&(down_all&FLOOR1)|(down_all&FLOOR2)pos&(down_all&FLOOR2)|(down_all&FLOOR3)pos&(down_all&FLOOR3)|(down_all&FLOOR4)pos&(down_all&FLOOR4)|(down_all&FLOOR5)pos&(down_all&FLOOR5)|(down_all&FLOOR6)0) begin if(up_all&FLOOR1)pos&(up_

32、all&FLOOR1)|(up_all&FLOOR2)pos&(up_all&FLOOR2)|(up_all&FLOOR3)pos&(up_all&FLOOR3)|(up_all&FLOOR4)pos&(up_all&FLOOR4)|(up_all&FLOOR5)pos&(up_all&FLOOR5)|(up_all&FLOOR6)FLOOR1) NextState=DOWNSTOP; else if(up_all&pos)&(pos=FLOOR1) NextState=UPSTOP; else NextState=UP; end else if(request_all|down_all) N

33、extState=UP; else NextState=WAIT; end UPSTOP: begin NextState=OPENDOOR;/停靠1时钟周期后开门 end DOWNSTOP: begin NextState=OPENDOOR;/停靠1时钟周期后开门 end OPENDOOR: begin if(countpos)|(up_allpos)/上升或停靠请求中有当前楼层之上的请求否 NextState=UP; else if(down_all0)/有下降请求否 begin if(down_allpos)&(down_allpos)pos) NextState=UP;/有当前楼层之上

34、的下降请求,则下一状态转移上升 else if(down_all&pos)0)/有当前楼层的下降请求信号,且更上层无下降请求 NextState=OPENDOOR; else/只有低于当前层的下降请求 NextState=DOWN; end else if(request_all|up_all)/上升和停靠请求中有当前层的请求且只有当前层下的请求 NextState=DOWN; else NextState=WAIT;/无任何请求,转为WAIT模式 end/请参考UpDnFlag=UPFLAG的注释 else if(UpDnFlag=DNFLAG) begin if(request_all&p

35、os)|(down_all&pos) NextState=OPENDOOR; else if(request_all&FLOOR1)pos&(request_all&FLOOR1)|(request_all&FLOOR2)pos&(request_all&FLOOR2)|(request_all&FLOOR3)pos&(request_all&FLOOR3)|(request_all&FLOOR4)pos&(request_all&FLOOR4)|(request_all&FLOOR5)pos&(request_all&FLOOR5)|(request_all&FLOOR6)pos&(requ

36、est_all&FLOOR6) NextState=DOWN; else if(down_all&FLOOR1)pos&(down_all&FLOOR1)|(down_all&FLOOR2)pos&(down_all&FLOOR2)|(down_all&FLOOR3)pos&(down_all&FLOOR3)|(down_all&FLOOR4)pos&(down_all&FLOOR4)|(down_all&FLOOR5)pos&(down_all&FLOOR5)|(down_all&FLOOR6)0) begin if(up_all&FLOOR1)pos&(up_all&FLOOR1)|(up

37、_all&FLOOR2)pos&(up_all&FLOOR2)|(up_all&FLOOR3)pos&(up_all&FLOOR3)|(up_all&FLOOR4)pos&(up_all&FLOOR4)|(up_all&FLOOR5)pos&(up_all&FLOOR5)|(up_all&FLOOR6)0) NextState=OPENDOOR; else NextState=UP;/ end else if(request_all|down_all) NextState=UP; else NextState=WAIT;/ end/请参考WAIT模式的注释 else begin if(requ

38、est_all0) begin if(request_all&pos)0) NextState=OPENDOOR; else if(request_allpos) NextState=UP; else NextState=DOWN; end else if(up_all&pos)|(down_all&pos) begin NextState=OPENDOOR; end else if(up_allpos)|(down_allpos) NextState=UP; else if(up_all|down_all) NextState=DOWN; else begin NextState=WAIT;

39、 end end end default: NextState=WAIT; endcase /3rd StateShift always block,the sequential FSM output,有限状态机第三段 always (posedge real_clk or posedge reset)/output if(reset)/复位后初始化当前楼层为第一层,门是关闭的,电梯是静止的 begin pos=FLOOR1; DoorFlag=CLOSED; UpDnFlag=STATIC; end else begin PosOut=pos;/PosOut的输出慢pos一个时钟周期 cas

40、e(NextState) WAIT:/状态为WAIT时,楼层不变,门是关闭的,电梯是静止的,其他模式的情况请大家自己推导 begin pos=pos; DoorFlag=CLOSED; UpDnFlag=STATIC; end UP: begin pos=pos1; DoorFlag=CLOSED; UpDnFlag=UPFLAG; end DOWN: begin pos1; DoorFlag=CLOSED; UpDnFlag=DNFLAG; end UPSTOP: begin pos=pos; DoorFlag=CLOSED; UpDnFlag=UPFLAG; end DOWNSTOP: begin pos=pos; DoorFlag=CLOSED; UpDnFlag=DNFLAG; end OPENDOOR: begin

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!