课程设计论文基于FPGA的交通信号灯设计

上传人:仙*** 文档编号:36544414 上传时间:2021-10-31 格式:DOC 页数:27 大小:507.50KB
收藏 版权申诉 举报 下载
课程设计论文基于FPGA的交通信号灯设计_第1页
第1页 / 共27页
课程设计论文基于FPGA的交通信号灯设计_第2页
第2页 / 共27页
课程设计论文基于FPGA的交通信号灯设计_第3页
第3页 / 共27页
资源描述:

《课程设计论文基于FPGA的交通信号灯设计》由会员分享,可在线阅读,更多相关《课程设计论文基于FPGA的交通信号灯设计(27页珍藏版)》请在装配图网上搜索。

1、基于FPGA的交通信号灯设计摘 要:该设计是针对交通信号灯控制器的设计问题,采用EDA技术实现的交通灯控制电路的设计方案。本设计有效的克服了传统的交通灯控制的缺点而采取自上而下的设计思路。该设计在软件Quartus9.0下应用硬件描述语言VHDL语言完成设计文件,并对其进行了功能仿真和时序仿真,且给出了相应的仿真结果,绘制出了具体的逻辑电路,最后将其下载到Alter公司生产的Cyclone系列的EP2C8Q208开发板上进行逻辑验证,实现软件和硬件的安全连接,达到了对交通灯的自动安全控制的目的。 关键字:交通灯控制;EDA; VHDL;Quartus;EP2C8Q208 Traffic con

2、trol lights design based on FPGAAbstract:The design is for traffic signal controller design issues based on EDA technology for traffic light control circuit design. This design effectively overcome the traditional traffic light control weaknesses and take a top-down approach to design. The design in

3、 software Quartus 9.0 under hardware description language VHDL complete design documents go on functional simulation and temporal simulation,it draws out the specific logic circuits and giving the simulation results, and last download it to Alter corporate production of Cycloneseries of EP2C8Q208 De

4、velopment Board for logical validation, it implement software and hardware security connection and reached the traffic lights of automatic safety control purposes.Key Words: traffic light control;EDA; VHDL;Quartus;EP2C8Q208引言随着社会和汽车工业的快速发展,近几年机动车辆急剧增加,道路超负荷承载现象日趋严重,致使交通事故逐年增加。交通信号灯是城市交通有序、安全、快速运行的重要

5、保障,而交通信号灯的正常工作就成了保障交通有序、安全、快速运行的关键。如何实现人、车、路三者关系的协调,已成为交通管理部门需要切实解决的问题1。该设计分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,采用了层次化的设计方法2、3,基于VHDL语言实现红绿灯的自动指挥的硬件实现方法。通过电路优化设计,可以使用规模更小的可编程逻辑芯片,从而降低系统成本。 概述随着我国城市化建设的发展,人民的生活水平日渐提高,越来越多的汽车进入了寻常老百姓的家庭,再加上政府大力发展公交车、出租车,使得道路上车辆越来越多,许多大城市如北京、上海、南京等均出现了道路交通超负荷

6、运行的情况。道路安全问题逐渐成为人们关注的热点话题,所以,如何采用合适的控制方法,最大限度利用好现有道路资源,缓解城区的交通拥堵状况,保障人们的出行安全越来越成为交通管理亟待解决的主要问题。在这种情况下,道路交通信号灯开始发挥了越来越重要的作用,并已成为交管部门管理交通的重要工具之一。1.1 交通灯的介绍当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前

7、的广场上,安装了世界上最早的煤气红绿灯。1914年由红绿黄三色圆形的投光器组成的红绿灯始安装于纽约市5号大街的一座高塔上。1918年,又出现了带控制的红绿灯和红外线红绿灯4。信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国道路交通和道路标志信号协定对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停

8、车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。1.2 EDA技术的发展EDA是电子设计自动化(Electronic Design Automation)的缩写,是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计5、6。是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译

9、、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载的高层次的电子设计方法。EDA技术的出现,极大地提高了电路设计的效率和可用性,减轻了设计者的劳动强度。EDA技术是电子设计领域的一场革命,代表了当今电子设计技术的最新发展方向,目前正处于高速发展阶段,每年都有新的EDA工具问世。1.3 基于FPGA的交通灯的优点传统的交通灯控制系统多数由单片机或PLC实现,基于EDA技术FPGA的设计方法设计交通灯系统就是使用硬件描述语言(HDL)来完成系统的设计文件,应用VHDL的数字电路7、8、9实验降低了数字系统的设计难度,这在电子设计领域已得到设计者的广泛采用

10、。具有周期短,设计灵活,易于修改等明显的优点,而且随着FPGA器件、设计语言和电子设计自动化工具的发展和改进,越来越多的电子系统采用FPGA来设计,还有,通过FPGA设计电子系统,一旦该系统可以达到一定规模的量产,很容易转化为ASIC芯片设计。相信在将来,FPGA设计方法将更大规模的应用于各种类型的电子系统设计中。本设计就是针对交通信号灯控制器的设计问题,并采用自顶向下的设计思路。提出了基于VHDL语言的交通信号灯系统的硬件实现方法。通过对系统进行结构分析,采用了层次化的设计方法,给出了各个模块的VHDL程序,并且利用Quartus 对应用程序进行了仿真,并给出了相应的仿真结果。在用VHDL语

11、言进行电路设计时,应充分认识到VHDL语言的特点,从设计思想、语句运用及描述方法上等多方面对电路进行优化设计。通过电路优化设计,可以使用规模更小的可编程逻辑芯片,从而降低系统成本。2. 控制系统的设计2.1 系统设计要求所要设计的交通信号灯控制电路,要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口,主、支干道的红绿灯闪亮时间不完全相同。具体的设计要求如下:实现控制一条主干道和一条次干道汇合组成的十字交叉路口,使主、支干道上的车辆行人交替通行,其中主干道车辆行人通行35秒,此时支干道上车辆行人禁止通行;支干道车辆通行25秒,同样此时主干道上车辆禁止通行。每当信号灯由绿灯转换成红灯之

12、前,黄灯要先亮5秒,此时另一个干道红灯不变,禁止通行。在黄灯亮点亮5秒,以提示车辆行人。在主干道上安装有数码管,用来显示本道各信号灯闪亮的剩余时间。2.2 总体设计思路通过参考其他的相关文献6、9、10 、 11、12 、13,对照研究如基于单片机、基于分立元件等其他设计手段的优缺点,我们认为使用基于FPGA的设计方法具有周期短,设计灵活,易于修改等明显的优点,通过FPGA设计电子系统,一旦该系统可以达到一定规模的量产,很容易转化为ASIC芯片设计。本设计的交通信号控制控制电路实现原理是:把由50M的有源晶振产生的现场可编程逻辑器件FPGA的系统时钟输入到分频模块,经分频模块分频产生频率为1H

13、z的时钟脉冲,作为控制定时模块、控制模块、紧急模块、计数模块的时钟信号,然后再由定时模块来控制紧急模块和控制模块,按照交通管理规则控制交通工作状态的切换,最后,由系统时钟和计数模块以及控制模块来共同控制计数器控制模块,计数器的时钟为1Hz,再把计数器控制模块送出的BCD码送给译码器译码后,送给数码管显示各方向直行绿灯的倒计时。模块图如图1所示:控制模块数 码 管状态转换模块计数模块分频模块交 通 灯图1 交通灯控制系统模块图Cyclone系列的EP2C8Q208的脉冲为50MHZ,为了保证设计的精度,在设计的过程中采用两次分频,同时在设计过程中为了防止出现意外情况的发生,加入了一个控制信号控制

14、交通灯的启用或停止,交通信号控制电路实现原理如图2所示:图2 交通灯控制系统原理电路图2.3 控制电路的模块VHDL设计实现2.3.1分频模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiao_tong is port(clk:in std_logic); -20MHz晶振时钟end jiao_tong;architecture fenpin of jiao_tong is signal clk1khz,clk1hz:s

15、td_logic; -分频信号包含1hz和1khzbeginp1:process(clk)variable count:integer range 0 to 9999;beginif clkevent and clk=1 thenif count=9999 then clk1khz=not clk1khz;count:=0;else count:=count+1;end if;end if;end process p1;p2:process(clk1khz) -1hz分频-variable count:integer range 0 to 499;beginif clk1khzevent an

16、d clk1khz=1 thenif count=499 then clk1hzif jin=0 then -状态st1,主干道通行35sif a=0 thenqh:=0011; ql:=0100;a:=1;r1=0;y1=0;g1=1;r2=1;y2=0;g2if jin=0 then -状态st2,主干道黄灯倒计时5sif a=0 thenqh:=0000;ql:=0100;a:=1;r1=0;y1=1; -主干道黄灯点亮g1=0;r2=1; -支干道红灯点亮y2=0;g2if jin=0 then -状态st3,支干道通行25Sif a=0 thenqh:=0010;ql:=0100;a

17、:=1;r1=1; -主干道红灯点亮y1=0;g1=0;r2=0;y2=0;g2if jin=0 then -状态st4,支干道黄灯倒计时5sif a=0 thenqh:=0000;ql:=0100;a:=1;r1=1; -主干道红灯点亮y1=0;g1=0;r2=0;y2=1; -支干道黄灯点亮g2=0;elseif ql=1 then -如果倒计时结束,则转到st1状态stx:=st1;a:=0;qh:=0000;ql:=0000;elseql:=ql-1;end if;end if;end if;end case;end if;one=ql;ten=qh; end process p3;2

18、.3.4 禁止通行模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-use ieee.std_logic_arith.all;entity jiao_tong is port(clk:in std_logic; -20MHz晶振时钟jin:in std_logic; -禁止通行信号ra,ya,ga:out std_logic; -主干道红黄绿灯rb,yb,gb:out std_logic); -支红黄绿灯end jiao_tong;architecture one of jiao_tong i

19、s type states is(st1,st2,st3,st4); -4种状态signal clk1khz,clk1hz:std_logic; -分频信号包含1hz和1khzsignal one,ten:std_logic_vector(3 downto 0); -倒计时的个位和十位signal cnt:std_logic_vector(1 downto 0); signal data:std_logic_vector(3 downto 0); -数码管扫描计数信号signal seg7_temp:std_logic_vector(6 downto 0); signal r1,r2,y1,y

20、2,g1,g2:std_logic;beginp4:process(jin,clk1hz,r1,r2,g1,g2,y1,y2,seg7_temp) -禁止通行信号数码管闪烁显示 beginif jin=1 thenra=r1 or jin; -主干道红灯点亮rb=r2 or jin; -支干道红灯点亮ga=g1 and (not jin);gb=g2 and (not jin);ya=y1 and (not jin);yb=y2 and (not jin);seg7(0)=seg7_temp(0) and clk1hz; -实现数码管闪烁显示seg7(1)=seg7_temp(1) and c

21、lk1hz;seg7(2)=seg7_temp(2) and clk1hz;seg7(3)=seg7_temp(3) and clk1hz;seg7(4)=seg7_temp(4) and clk1hz;seg7(5)=seg7_temp(5) and clk1hz;seg7(6)=seg7_temp(6) and clk1hz;elseseg7=seg7_temp;ra=r1;rb=r2;ga=g1;gb=g2;ya=y1;yb=y2;end if;end process p4;2.3.5 显示模块p5:process(clk1khz) -数码管动态扫描计数-beginif clk1khze

22、vent and clk1khz=1 thenif cnt=01 then cnt=00; else cntdata=one;scandata=ten;scannull;end case;end process p6;p7:process(data) -7段译码-begincase data iswhen 0000 =seg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_temp=1001111;end case;end process p7; 功能仿真仿真电

23、路如图3所示:图3 仿真电路仿真分析:此时主干道绿灯点亮,支干道红灯亮,主干道通行35秒。 硬件电路的设计与调试本系统的主要逻辑设计由一片EP2C8Q208芯片完成,编写的VHDL源程序在Altera公司的逻辑综合工具 Quartus下经过编译和功能仿真测试后,针对下载芯片进行管脚配置,下载到EP2C8Q208芯片中,进行相应的硬件调试,调试结果与软件仿真的结果相吻合,验证了设计完成了预定功能。根据需求选择电路的设计单元进行组合,完成系统的原理图设计与 PCB 设计,对制作好的PCB板,或准备好的面包板,按照装配图或原理图进行器件装配,装配好之后再进行电路的调试。4.1 硬件电路的使用4.1.

24、1硬件电路原理图图4显示电路图5 EP2C8Q208的原理图图6 信号灯指示电路原理图4.1.2 PCB的制作本设计的PCB电路6板通过Protel99来完成。首先创建扩展名为DDB的设计文件,打开Document文挡新建Schematic document文件,在此文件里面画出本设计的各模块电路图,添好元器件的封装,生成网络表。然后在Document文挡新建PCB document文件,装载网络表。装载网络表完成后将各元器件重新布局,让图中的交叉线尽可能的少,以免布线时出现过多的交叉线。重新布置元件位置后,根据需要修改布线参数。根据制作的难易程度一般在元器件少的情况下选择单面PCB板,因为元

25、器件少时单面板布线的成功率高,易于腐蚀和焊接,本设计的电路图不是很复杂,且采用了分模块设计,故均采用单面PCB板。另一个需要修改的参数是PCB板的线宽。线宽的选择很重要,线宽太细在腐蚀时易出断线,线宽太宽需要的板子面积就大,这样浪费材料,不经济。线宽一般选择在0.5mm2mm之间。本设计的线宽除地线和电源线以外,均采用0.8mm线宽,最终效果很好。PCB板本身的基板是由绝缘隔热、且不易弯曲的材料所制作成。在表面可以看到的细小线路材料是铜箔,原本铜箔是覆盖在整个PCB板上的,而在制造过程中部分被腐蚀处理掉,留下来的部分就变成网状的细小线路了。这些线路被称作导线或称布线,并用来提供PCB板上零件的

26、电路连接。通常PCB板的颜色都是绿色或是棕色,这是阻焊漆的颜色。是绝缘的防护层,可以保护铜线,也可以防止零件被焊到不正确的地方。在布局时首先要考虑的一个因素就是电性能,把连线关系密切的元器件尽量的放在一起,尤其对一些高速线,布局时就要使它尽可能地短,功率信号和小信号器件要分开。在满足电路性能的前提下,还要考虑元器件摆放整齐、美观,便于测试,板子的机械尺寸,插座的位置等也需认真考虑。所有平行信号线之间要尽量留有较大的间隔,以减少串扰。如果有两条相距较近的信号线,最好在两线之间走一条接地线,这样可以起到屏蔽作用。设计信号传输线时要避免急拐弯,以防传输线特性阻抗的突变而产生反射,要尽量设计成具有一定

27、尺寸的均匀的圆弧线。PCB板布线完成后即用转印纸将所有的板层打印出来。将选好的PCB板除污后用转印机将转印纸上的碳迹转印到PCB板上。转印完成后检查转印的碳迹是否有断线,若有则用油漆或碳笔将断线连接起来,然后把PCB板放到三氯化铁的水溶液中进行腐蚀。腐蚀的温度在37度为宜,在三氯化铁溶液浓度足够,PCB板面积不大的情况下半小时就可腐蚀完成。需要注意在腐蚀过程中不断搅拌三氯化铁溶液,可以使PCB板的腐蚀速度加快。4.2 电路组装与调试腐蚀好的PCB板除去残留的三氯化铁残液和碳迹后,在有导线的一面刷一层松香。刷松香的作用有两个:一是防止PCB板上的铜线被空气中的氧所氧化;二是在以后的焊接中更容易焊

28、接并提高焊点的可靠性。刷过松香后把板子上有焊盘的地方打孔,打孔需要根据焊盘的大小更换钻头以免焊盘太小,影响焊接的可靠性。在实验箱上按各单元电路分别连接主控制器、计数器、信号灯译码器、数字显示译码器和秒脉冲信号发生器。然后按照以下步骤进行调试:(1) 秒脉冲信号发生器的调试,调试振荡电路和分频电路,使输出频率符合设计要求。(2) 将秒脉冲信号送入主控制器的CP端,观察主控制器的状态是否按周期规律规律变化。反复调试,直到准确为止。(3) 将秒脉冲信号送入计数器的CP端,接入主控制器的状态信号,并把主控制器的状态转换信号送入主控制器的CP端,观察计数器是否按35s、5s、25s、5s、循环计数。(4

29、) 把主控制器的状态转换信号接至信号灯译码电路,观察6个发光二极管是否按设计要求发光。(5) 整机联调,使交通信号灯控制电路按要求正常工作。4.3 注意事项4.3.1在EDA开发板上测试过程芯片的选取必须要于实验箱相一致,否则将会有硬件无法连接的错误。引脚分配时要注意引脚的输入输出关系,否则将无法正常的进行数据传输跳线的选择,实验箱上做动态显示时必须把静态的跳线拔去,因为该实验箱上静态具有优先权。4.3.2制板过程在做PCB板时注意元件的封装,否则将会有(1)丢失元件错误例:Component R1 not found;这种错误多为封装错误,应该在Schematic document文档电路图

30、中修改对应的元件封装。(2)找不到接点的错误。例:NodeR1-2 not found;这种错误为元件引脚标识与元件封装引脚标识不一致,这种情况多发生在自己制作的封装上。在制作封装时需注意引脚标识的一致性。(3)在元器件布局过程中发现元器件的引脚之间实际该有导线连接上的而布线时却没有连上,这多为画图问题。4.3.3调试过程(1) 设计过程中,一开始由于对EDA并没有学习,对EDA的设计流程缺乏了解,在查阅资料的过程中带有一定的盲目性, 被各种书籍搞得头晕脑涨。(2) 设计初期由于对实验箱的应用范围了解不深入,致使后来在程序下载过程中出现无法匹配的错误,该设计不支持MAXPLUS,最后改用Qur

31、tus完成设计,并制作出作品。 应用前景目前在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域都有EDA的应用14、15,并且已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。该设计它弥补了现行交通灯的不足,保证了在任一时刻只有一个方向为绿灯,可供车辆通行,使交通更为安全可靠,可避免交通事故的产生。另外特种车辆,使用可编程控制器15、16,使程序具有良好的可读性、可维护性和可移植性17,简单明了,可靠性极高。具有广阔的应用开发前景。结束语本文利用硬件描述语言VHDL编程,借助Altera公司的

32、Quartus9.0软件环境下进行了编译及仿真测试,通过FPGA芯片实现了一个实用的交通信号灯控制系统,设计由于采用了EDA技术,不但大大缩短了开发研制周期,提高了设计效率,而且使系统具有设计灵活,实现简单,性能稳定的特点。实现了交通信号灯的安全控制。参考文献:1陈跃东城市智能交通信号控制系统设计J自动化与仪器仪表,2006,17(1):84862谢自美电子线路综合设计(第二版)M华中科技大学出版社,2006:1531673LiSG,GaoDY,NiePQStudyonmultitaskmanagementunitMTUofembed dmicroprocessorNCSJ.ActaAeron

33、auticaetActronauticaSinica,2000,21(2):134137. 4LiuL,ZhangSBDesignofEMFPUinem-beddedmicroprocessorJActaAero-natuticaetAstronauticaSinica,2001,22(4):3083115阎石数字电子技术基础(第四版)M高等教育出版社,1997:2122856廖常初可编程序控制器应用技术(第三版)M重庆大学出版社,2000:75133 7俞一鸣Altera可编程逻辑器件的应用与设计M机械工业出版社,2007:1532268康华光电子技术基础(数字部分)M高等教育出版社,200

34、0:1121849余孟尝数字电子技术基础简明教程(第二版)M高等教育出版社,1999:347210周景润基于Quartus 的FPGA数字系统设计M电子工业出版社,2007:28731211孟庆辉EDA技术实用教程M国防工业出版社,2008:99167 12YeandelAnon-linetestableUARTimplementedusingIFISM15thIEEEVLSI TestetAstronauticaSymposium,1997:344349 13ElmenreichWTime-triggeredcommunicationwithUARTsM4thIEEEInterna- tio

35、nalWorkshoponFactoryCommuni-cationSystems,2002:97104. 14GalloRRevisionandverificationofanenhancedUARTMIEEEInternationalWorkshoponFactoryCommunicationSystems,2004:315318.15DelvaiMIntelligentUARTmoduleforreal-timeapplicationsMFirstWorkshoponIntelligentSolutionsinEmbeddedSystems,2002:17185.16陈林EDA技术在电子

36、技术基础实验教学中的应用J信息技术,2003,6(3):848617房德君智能交通灯控制装置J山东工程学院学报,1998,4(1):8486附录library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiao_tong is port(clk:in std_logic; jin:in std_logic; scan:out std_logic_vector(1 downto 0); seg7:out std_logic_vector(

37、6 downto 0); kzh: out std_logic_vector(6 downto 0);ra,ya,ga:out std_logic; rb,yb,gb:out std_logic); end jiao_tong;architecture one of jiao_tong istype states is(st1,st2,st3,st4); signal clk1khz,clk1hz:std_logic; signal one,ten:std_logic_vector(3 downto 0); signal cnt:std_logic_vector(1 downto 0); si

38、gnal data:std_logic_vector(3 downto 0); signal seg7_temp:std_logic_vector(6 downto 0); signal kzh1:std_logic_vector(6 downto 0);signal r1,r2,y1,y2,g1,g2:std_logic;begin kzh1=1111111;p1:process(clk)variable count:integer range 0 to 4999;beginif clkevent and clk=1 thenif count=4999 then clk1khz=not cl

39、k1khz;count:=0;else count:=count+1;end if;end if;end process p1;p2:process(clk1khz)variable count:integer range 0 to 2449;beginif clk1khzevent and clk1khz=1 thenif count=2499 then clk1hzif jin=1 then if a=0 thenqh:=0011; ql:=0100;a:=1;r1=0;y1=0;g1=1;r2=1;y2=0;g2if jin=1 then if a=0 thenqh:=0000;ql:=

40、0100;a:=1;r1=0;y1=1; g1=0;r2=1; y2=0;g2if jin=1 then if a=0 thenqh:=0010;ql:=0100;a:=1;r1=1; y1=0;g1=0;r2=0;y2=0;g2if jin=1 then if a=0 thenqh:=0000;ql:=0100;a:=1;r1=1; y1=0;g1=0;r2=0;y2=1; g2=0;elseif ql=1 then stx:=st1;a:=0;qh:=0000;ql:=0000;elseql:=ql-1;end if;end if;end if;end case;end if;one=ql

41、;ten=qh; end process p3;p4:process(jin,clk1hz,r1,r2,g1,g2,y1,y2,seg7_temp,kzh1)beginif jin=0 thenra=r1 or (not jin); rb=r2 or (not jin); ga=g1 and jin;gb=g2 and jin;ya=y1 and jin;yb=y2 and jin;seg7(0)=seg7_temp(0) and clk1hz;seg7(1)=seg7_temp(1) and clk1hz;seg7(2)=seg7_temp(2) and clk1hz;seg7(3)=seg

42、7_temp(3) and clk1hz;seg7(4)=seg7_temp(4) and clk1hz;seg7(5)=seg7_temp(5) and clk1hz;seg7(6)=seg7_temp(6) and clk1hz;elseseg7=seg7_temp;kzh(0)=kzh1(0) or jin;kzh(1)=kzh1(1) or jin;kzh(2)=kzh1(2) or jin;kzh(3)=kzh1(3) or jin;kzh(4)=kzh1(4) or jin;kzh(5)=kzh1(5) or jin;kzh(6)=kzh1(6) or jin;ra=r1;rb=r

43、2;ga=g1;gb=g2;ya=y1;yb=y2;end if;end process p4;p5:process(clk1khz)beginif clk1khzevent and clk1khz=1 thenif cnt=01 then cnt=00; else cntdata=one;scandata=ten;scannull;end case;end process p6;p7:process(data)begincase data iswhen 0000 =seg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_tempseg7_temp=00

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!