毕业设计(论文)全量程现场总线系统采集节点设计

上传人:1888****888 文档编号:36498333 上传时间:2021-10-31 格式:DOC 页数:79 大小:1.35MB
收藏 版权申诉 举报 下载
毕业设计(论文)全量程现场总线系统采集节点设计_第1页
第1页 / 共79页
毕业设计(论文)全量程现场总线系统采集节点设计_第2页
第2页 / 共79页
毕业设计(论文)全量程现场总线系统采集节点设计_第3页
第3页 / 共79页
资源描述:

《毕业设计(论文)全量程现场总线系统采集节点设计》由会员分享,可在线阅读,更多相关《毕业设计(论文)全量程现场总线系统采集节点设计(79页珍藏版)》请在装配图网上搜索。

1、I毕毕 业业 设设 计(论计(论 文)说文)说 明明 书书题 目:全量程全量程现场总线现场总线系系统统采集采集节节点点设计设计系 别:专业班级:学生姓名:XXX指导教师:教 研 室:提交时间:本科毕业(设计)论文II全量程现场总线系统采集节点设计I摘 要针对现场总线在工业实时检测中的应用,提出了全量程的现场总线系统采集节点的实现方案,每个节点能完成四路实时参数检测,每路均能与热电偶、热电阻,变送器配合实现数据采集。系统采用双单片机作为微控制器,同时采用 CAN 总线为系统总线,使节点与上位机进行通信,将数据送到上位机,同时采用组态王 6.0 作为系统的组态软件,实现了操作站对现场的实时监控。另

2、外从硬件和软件方面提出了系统的改进方案。该数据采集器可广泛应用于工业控制领域。关键词关键词 :现场总线;数据采集;CAN 总线;数据发送;缓冲器本科毕业(设计)论文IIABSTRACTIn view of the application of the field bus in the industry real-time examination, Proposed a project of full measuring ranged data acquisition system point based on the field bus system. Each point can compl

3、ete four groups real-time parameters examination each group can Complete the data acquisition by coordinating the thethermo, the thermal resistance, and the transducer. The system used the single-chip as the microcontroller, Simultaneously used the CAN bus as the system bus, Caused the system point

4、to communication with the position machine. Simultaneously used the Configuration King 6.0 as the system configuration software, realized the operation station to the scene real-time monitoring. Moreover proposed the system improvement program from the hardware and the software aspect. This data acq

5、uisition may widely apply to the industry control domain.KEY WORDS: Fieldbus;data acquisition;CAN bus;data Transmit;buffer全量程现场总线系统采集节点设计目 录摘 要 .IABSTRACT .II第 1 章 引 言 .1第 2 章 硬件设计部分 .32.1 整体方案概述 .32.2 系统主控电路设计.52.2.1 时钟及复位电路.72.2.2 双机通信部分.92.3 模拟量输入通道设计 .102.3.1 信号输入端子设计 .102.3.2 信号调理电路 .112.3.3 A/

6、D 转换部分.132.4 CAN 通信接口电路设计 .162.4.1 CAN 总线通信控制器 SJA1000 的介绍 .162.4.2 总线驱动器 PCA82C250 的介绍 .192.4.3 SJA1000 的电路设计 .212.4.4 光电隔离部分 .222.5 系统电源设计 .222.6 PCB 印制电路板制作 .22第 3 章 软件设计部分 .223.1 CAN 技术规范 .223.1.1 帧类型 .223.1.2 CAN 总线数据帧结构 .223.2 操作站读数据 .223.3 软件编程 .223.3.1 数据采集部分软件设计 .223.3.2 CAN 接口部分程序设计 .223.3

7、.3 CAN 接口中断程序设计 .223.3.4 SJA1000 初始化流程图 .22第 4 章 总 结 .22致 谢 .22参考文献 .22附录 .22本科毕业(设计)论文1第 1 章 引 言随着工业技术的发展,数据采集技术得到了很大发展,各种数据采集器层出不穷,本次设计旨在设计一个全量程的数据采集器是一种多路数据采集器,它能就地的对热电偶,热电阻,及变送器的信号进行采集。以解决传统数据采集器功能简单、抗电磁干扰弱的缺陷,同时采用 CAN 总线作为系统的通信总线,使数据能有效,快速,无差错的传输到上位机,实现操作站对现场参数的实时在线监控。下面将就数据采集和 CAN 总线的发展及应用给与介绍

8、。数据采集在控制系统中起着重要的作用,它将现场的各种控制参数检测后送给控制室,以供显示或控制使用。在各种控制系统中起着非常关键的作用,数据采集的精度对控制的品质的好坏也起着重要作用。数据采集系统的应用范围非常广泛。随着科学技术的发展,对有用信号进行数据的采集,分析,计算,提取等有较好的运用。一般的数据采集主要应用于实时过程参数检测,生物医学信号处理,多媒体技术与人机交互,导航与现代通信技术,遥感,遥测的应用,人工智能与模式识别,计算机视觉与可视化雷达,声纳信号处理,微弱信号处理技术等。随着数据采集系统被广泛的利用,在特定的行业要获得较精确的采样数据,都需要对该系统进行特殊的要求如:由于工业现场

9、环境恶劣,很多设备(比如大功率电机)都是对数据采集产生很大干扰的干扰源;而且一般的采集器都有多路信号输入,它们地线相连会导致干扰通过地线进入正在采集的信号,使得数据采集不准确,因此数据采集器的抗干扰设计十分重要。所以,在数据采集系统的发展过程中,为满足特定的要求,数据采集系统的发展方向为系统抗干扰性,实时通信等方面。1)系统抗干扰性 保证获得的数据较精确。如:可设计一个数据采集器,它除了正常的低通滤波,RC 滤波外,还可用光电隔离对每路信号进行隔离,每路信号的地线都独立开来。2)高速数据采集 一般数字信号的获得需要对模拟信号进行采集,这就需要高速,高性能的 A/D 转换相适应。3)实时通信 保

10、证数据处理单元能较快的得到要处理的数据,提高了主机的全量程现场总线系统采集节点设计2运行效率,如:采集现场与处理单元距离短可用 RS-232 总线,距离长可用 RS-485总线,在本次设计中采用 CAN 总线作为通信总线,因为其相比其他总线,其具有很多优点。CAN(Controller Area Network)控制器局域网络,由于其高性能、高可靠性及独特的设计,CAN 越来越受到人们的重视。由于 CAN 本身的特点,其应用范围目前已不再局限于汽车行业,而向过程工业,机械工业,纺织机械,农用机械,机器人,数控机床,医疗器械及传感器等领域发展。CAN 总线由于具有可靠性高,成本低,容易实现等优点

11、,在现场总线的实际工程应用中占据较大的份额。CAN 也是基于 OSI 模型,但进行了优化,采用了其中的物理层、数据链路层,提高了实时性。其中,数据链路层又包括逻辑链路子层 LLC 和媒体访问子层MAC。而在 CAN 技术规范 2.0A 中,数据链路层的 LLC 和 MAC 子层的服务和功能被描述为目标层和传输层。由于其采用了许多新技术及独特的设计,与其它现场总线相比,CAN 总线的数据通信具有突出的可靠性、实时性和灵活性。其特点可概括如下:CAN 是到目前为止唯一有国际标准的现场总线。多主方式工作,通信方式灵活。利用这一特点可方便地构成多机备份系统。网络上的节点信息分成不同的优先级,可满足不同

12、的实时要求。介质访问控制子层采用非破坏总线仲裁技术,从而避免了网络瘫痪情况。其直接通信距离最远可达 10km(速率 5kbps 以下);通信速率最高可达 1Mbps(此时通信距离最长为 40m)。 CAN 上的节点个数主要取决于总线驱动电路,目前可达 110 个。在标准帧的报文标示符 11 位,而在扩展帧的报文标示符(29 位)的个数几乎不受限制。采用短帧结构,传输时间短,受干扰概率低,具有极好的检错效果。每帧信息都有 CRC 校验及其他检错措施,保证了数据出错率极低。节点在错误严重的情况下具有自动关闭输出功能,以使总线上其他节点的操作不受影响。1总之,通过以上分析,本次设计意在解决传统数据采

13、集器功能单一的缺陷,同时能直接采集热电偶,热电阻信号,降低了成本。在设计中基于传统数据采集器,采用 MCS-51 单片机作为数据采集和收发控制中心,利用 CAN 总线作为系统传输总线,使数据采集节点与上位机进行通信,构成全量程现场总线系统采集节点。其首先对热电偶、热电阻、变送器信号进行采集,然后通过 CAN 总线把信息发送给本科毕业(设计)论文3上位机,同时通过操作站组态,实现操作站对现场参数的实时在线监控。本科毕业(设计)论文5第 2 章 硬件设计部分2.1 整体方案概述全量程现场总线系统采集节点是在全量程采集的基础上,采用 CAN 总线作为系统总线,将数据传到操作站,通过操作站组态,实现多

14、节点的在线监控,其系统网络拓扑结构图如图 2-1 所示, 图2-1 CAN总线系统网络拓扑结构图如图 2-1,图中现场设备网(Device Network)采用 CAN,位于现场数据采集节点以及位于控制室的操作单元等通过总线连接,完成数据的采集和实时数据显示、控制等任务。现场的数据采集节点接收来自现场的变送器,热电阻,热电偶信号,通过对 CAN 总线控制器的控制,完成节点与 CAN 总线的双向通信。隔离型通信控制器CAN/RS232 完成 CANBUS、数据操作站 SCADA 设备间的协议互换,在 SCADA 上实现集中显示、管理,控制室内仪表与现场节点通过总线实现资源共享,实现控制彻底分散、

15、管理集中的分布式控制系统。同时可方便地与基于工业以太网(Ethernet)的企业信息网(Plant Information Network)连接,提高工厂的信息化管理水平。2智能节点要与 CAN 总线系统进行通信,CAN 控制器是必不可少的。CAN 总线通信,除需要一个总线控制器外还需要一个总线驱动器。基于实际应用考虑,设计中选用 PHILIPS 公司 SJA1000 CAN 总线控制全量程信号全量程数据采集节点 1全量程信号全量程数据采集节点 NSCADA全量程信号全量程数据采集节点 N1全量程数据采集节点 2全量程信号通讯控制器全量程现场总线系统采集节点设计6器和 PCA82C250 CA

16、N 总线驱动器,采用 ATMEL 公司的 AT89C51 芯片作为信息中央处理单元。在具体的电路设计中采用双 AT89C51 单片机的形式,一个通过对 A/D 转换器等的控制,实现全量程的数据采集,一个通过对 CAN 总线控制器和驱动器的控制,实现 CAN 数据的收发。另外利用双口 RAM 作为存取数据缓冲区和单片机的连接媒介,同时在系统中加入看门狗电路。其系统原理框图如图 2-2 所示。图 2-2 系统原理框图单片机1 双端口ROM单片机2SJA1000PCA82C250地址锁存器74LS373IMP813L6N137地址锁存器74LS373信号多路选择开关MAX354信号调理电路模数转换器

17、AD7705信号预处理信号预处理信号预处理信号预处理IMP813L地址码设定6N137P1-P13T0RSTAB A0R-A7RP0P20-P21DBIR0-IR7P20-P21IL0-IL7P0 ABDBCBDBRXDTXDA0L-A7LIN0IN1IN2IN3P13-P16P10-P12CBRSTT0地址码设定P23-P27本科毕业(设计)论文7如图 2-2,IN0IN3 为四路现场信号输入端,其中每一路信号可以是标准的变送器输出信号,热电偶信号,或热电阻信号。现场来的各种信号经预处理(滤波)后,送到多路转换开关 MAX354,然后通过单片机 MCU#1 的 P13-P16 引脚控制,选择

18、现场变送器,热电阻,热电偶信号的一路信号输出,作为信号调理模块的输入信号,信号调理电路主要实现对各种信号的跟随、补偿、放大等处理,将输入信号处理成能满足模数转换器的输入信号,模数转换器接收前级处理后的信号,通过 MCU#1 的P30、P31、P11、P12 引脚的控制,实现模数转换,并将模数转换后传送到单片机MCU#1。在图 2-2 中,两个地址锁存器,双口 RAM 主要实现双机通信,图中 AB 表示地址总线,DB 表示数据总线,CB 表示控制总线。其中 MCU#1 和 MCU#2 的 P0 口分别作为双口 RAM 左右两端的地址线和数据线,当作为地址线时,经 74LS373S 锁存后作为地址

19、线,而作为数据线时 直接接到双口 RAM 上,另外由于双口 RAM 的地址线为10 位,所以将 P20,P21 作为高位地址线。SJA1000 为 CAN 控制器,在设计中,P0 口作为 SJA1000 的数据端,通过 P0 口和控制总线的控制,实现数据的双向传输,SJA1000 的 TXD,RXD 引脚通过光电隔离 6N137 与 PCA82C250 CAN 总线驱动器相连,PCA82C250 的输出端分别接到 CAN 总线的高,低电平端。另外,在系统设计中加入时看门狗电路,采用 IMP813L 作为看门狗芯片,IMP813L 的 RST 和/PF0 与单片机的 RST 和 T0 引脚相连,

20、实现自动复位和“喂狗”同时,地址码单元实现节点地址的设定,通过 MCU#2 的 P10-P13 实现地址码的设定。 2.2 系统主控电路设计在主控电路的设计中,采用双 MCU 的设计方式,所以涉及到双机通信。我们的控制芯片采用 ATMEL 公司的 C51 系列单片机 AT89C51,AT89C51 是一种低功耗、高性能的 8 位 CMOS 微处理器芯片,片内带有 4K 字节的 EPROM。该芯片的制造采用了高密度非易挥发存储器的生产技术,并与工业标准的 80C51 指令集与管脚分布相兼容。所以用它设计构成的最小系统简单可靠,只要将单片机接上时钟电路与复位电路即可。在设计中采用自动复位电路,同时

21、加入地址码设定部分,因为在 CAN 网络中必须为每个节点分配地址。在设计主控电路的时候,我们考虑到 89C51 内有 4K 的片内程序存储器,足够很好的满足设计的应用,无须增加外部程序存储器,所以 EA/VPP 引脚接全量程现场总线系统采集节点设计8高电平。4因此主控电路可设计如下图 2-3 所示。VCC2MR1PF14WD16RF05GND3RESET7WD08U7IMP813LVCC2MR1PF14WD16RF05GND3RESET7WD08U4IMP813LCEL1R/W12BUSY L3A10L4OEL5A0L6A1L7A2L8A3L9A4L10A5L11A6L12A7L13A8L14

22、A9L15I/O0L16I/O1L17I/O2L18I/O3L19I/O4L20I/O5L21I/O6L22I/O723GND24VCC48CER47R/Wr46BUSY R45A10R44OER43A0R42A1R41A2R40A3R39A4R38A5R37A6R36A7R35A8R34A9R33I/O7R32I/O6R31I/O4R29I/O3R28I/O2R27I/O1R26I/O0R25I/O5R30U2IDT7132EA/VP35X121X220RESET10RD19WR18INT015INT114 T016 T117P102P113P124P135P146P157P168P179P

23、0043P0142P0241P0340P0439P0538P0637P0736P2024P2125P2226P2327P2428P2529P2630P2731PSEN32ALE /P33 TXD13RXD11U1AT89C51J2EA/VP35X121X220RESET10RD19WR18INT015INT114 T016 T117P102P113P124P135P146P157P168P179P0043P0142P0241P0340P0439P0538P0637P0736P2024P2125P2226P2327P2428P2529P2630P2731PSEN32ALE /P33 TXD13R

24、XD11U3AT89C51JI123456789con3RP1123456789con1RP212345109876SGNSW DIP-512345109876SGN1SW DIP-5 SD ZD03Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D718Q719OE1LE11U574ALS373D03Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D718Q719OE1LE11U874ALS373VCC 业业业业业VCC1RE SET cry1XYAL 1C115PFC215PF2AL EVCC2RXD2T

25、XD VCC cry2XTAL 2C415PFC515PF2CE L2BUSYRVCCVCCVCC1P101P111P121P131P141P151P161CE R1BUSEY1CL K1RE SET1RD1WRVCCSRE SET1AD01AD11AD21AD31AD41AD51AD61AD71A81A91A101A111A121A131A141RXD1T XD2RD2WD1A152AD02AD12AD22AD32AD42AD52AD62AD72A82A102A112A122A132A142A151XT AL22AD72AD62AD52AD42AD32AD22AD12AD01AD71AD61

26、AD51AD41AD31AD21AD11AD02CE L2WR2BUSYR2A102RD2A02A12A22A32A42A52A62A72A82A92AD02AD12AD22AD32AD42AD52AD62AD71AD01A81A91AD11AD21AD31AD41AD51AD61AD71AA71AA61AA51AA41AA31AA21AA11AA01CE R1WR1BUSYR1A101RD1AA01AA11AA21AA31AA41AA51AA61AA72AL E图 2-3 主控电路本科毕业(设计)论文92.2.1 时钟及复位电路89C51 的时钟可以利用它内部的振荡器(OSC 部分)产生,只

27、要在 XTAL1 和XTAL2 引脚上接定时反馈电路即可使内部振荡器的自激振荡。其接法如图 2-3 所示,C1,C2 与无源晶振构成了三点振荡器,晶振频率为 11.0592,电容饭的取值一般在530pF 之间,在设计中电容的值为 15pF。 EA/Vpp 作为外部程序存储器选择引脚,在电路中将其接 VCC,即高电平,这是因为在设计中只需从内部程序存储器读取指令码,而没有用到外部程序存储器5。在正常的情况下,单片机的程序是按照程序的要求顺序执行的。但是,在非正常的情况下,由于外界干扰或其他原因使得程序出现紊乱,出现程序跑飞现象。为了防止这种现象,可在硬件电路中加入看门狗电路。其功能为,在正常的情

28、况下,它是在系统加电时,提供单片机复位信号。而在程序执行时,单片机必须每隔不超过某个一定的时间内,提供给看门狗一个脉冲信号。如果看门狗在一定的 时间内没有接到这个脉冲,它就认为单片机的程序已经跑飞,立即提供给单片机复位信号,迫使系统复位。在本次设计我们选用 CMOS 监控电路 IMP813L 作为 AT89C51 的复位电路,它不仅可以监控电源及电池电压起到上电、掉电复位的作用,还可以监视 CPU 的工作状况,防止程序跑飞。当电源电压降至 4.65V 以下时,即产生复位。上电、掉电期间及在电压降低的情况下可产生一个复位信号。此外,IMP813L 带有一个 1.6 秒的看门狗定时器。IMP813

29、L具有高电平有效的复位输出。所有器件都具有手动复位()输入,看门狗定时器RM的输出如果连接至将会触发复位信号12。RM复位信号用来按已知状态启动 CPU,一旦 CPU 处于未知状态,就将系统复位。IMP813L 仅有一个高电平有效的复位输出。当 VCC 大于 1.2V 时,RESET 保证为低电平。在上电期间,RESET 保持低电平直到电源电压升至复位门限(4.65V 或 4.40V)以上。在超过此门限后,RESET 为高电平大约 200ms。在掉电期间,当 VCC 降至复位门限以下时,RESET 变为低电平,并在 VCC 大于 1.2V 时保证低于 0.4V,如果在已开始的复位脉冲期间电压下

30、降,则该脉冲至少再持续 140ms。IMP813L 内的看门狗定时器监控单片机的工作。如果在 1.6 秒内未检测到其工作,内部定时器将使看门狗输出处于低ODW全量程现场总线系统采集节点设计10电平状态。将保持低电平直到在 WDI 检测到单片机的工作。如果将 WDI 悬空ODW或连接到一个三态电路,看门狗的功能则被禁止,即被清零且不计数。如果产生复位信号,看门狗定时器也会被禁止。当复位信号无效且 WDI 输入检测到短至 50ns 的高电平或低电平跳变时,看门狗定时器将开始 1.6 秒的计数。WDI 端的跳变会复位看门狗定时器并启动一次新的计数周期。其工作原理图如图 2-4 所示。一旦电源电压 V

31、CC 降至复位门限以下,也将变为低电平并保持该状态。只ODW要 VCC 升至该门限以上,就变为高电平。对于不存在最小脉冲宽度,因为ODWODW它是对于复位输出而言的。如果 WDI 悬空,将有必要作为一个低功耗输出指示ODW器。IMP813L 时序图如下:图2-4 看门狗时序其与单片机的连接电路如图 2-3 所示,其中为手动复位输入端。低电平有效MR的输入可触发复位脉冲。VCC 电源输入端。GND 所有信号的基准地。PFI 电源故障电压监控输入。在设计中没用。所以将其接地。,电源故障输出。该输出低电平有效0PF且 PFI 小于 1.25V 时吸收电流。WDI,看门狗输入。WDI 控制内部看门狗定

32、时器。WDI保持高电平或低电平达 1.6 秒可使内部定时器完成计数,并将/WDO 拉 为低电平。/RESET ,低电平有效的复位输出。触发后产生 200ms 的负脉冲,并只要 Vcc 低于复位twdtwdtwdtwpWDIWDO RESET本科毕业(设计)论文11门限,它就保持低电平。,看门狗输出。当内部看门狗定时器超时 1.6 秒时,WDO拉至低电平,并直到看门狗被清零才变为高电平。在设计中把作为的WDOWDOMR输入信号。2.2.2 双机通信部分此部分主要利用双端口 RAM IDT7130,同时加上锁存器 74LS373,实现双机间的通信。这里首先就 RAM IDT7130 和锁存器 74

33、LS373 给予介绍。(1)双端口 RAM IDT7130双端口 RAM IDT7130 是一种高速 1k X 8bit 双口静态 RAM,带片内总线仲裁电路,适用于双机之间大量数据的快速双向传递,IDT7130 提供了两套各自独立的控制和地址总线,同时提供了 BUSY 和 INT 两种总线仲裁方式。IDT7130 芯片内部的集成竞争逻辑基于访问信号先到者优先的原则,可以在两个 CPU 同时访问端口时进行地址访问或片选匹配。将两端口中访问慢的一方 BUSY 引脚电平下拉,使之写入操作无效;一旦一方访问完毕,访问慢的一方 BUSY 线恢复上拉电平状态,即可继续访问双 RAM.。其芯片图如,芯片分

34、两部分,一端与单片机 1 相接,一端与单片机 2 相接。其中,CER为其片选信号,R/WL,R/WR,为其读写控制信号,, , 为CELBUSYLBUSYRINTLINTR其总线仲裁方式控制位,A0LA9L,A0RA9R 为地址端口控制位,I/O0LI/O9L, I/O0RI/O9R 为数据端口控制位。在电路的设计时,I/O 直接与单片机相连,而地址口通过地址锁存器与单片机相连9。(2)地址锁存器 74LS37374LS373 为八 D 锁存器,其输出端 O0O7 可以直接与总线相连,DOD7 为数据输入端,OE 为三态允许控制端,LE 锁存允许端,O0O7 输出端 。当三态允许控制端 OE

35、为低电平是,O0O7 为正常逻辑状态,可用来驱动负载或总线。当 OE 为高电平时,O0O7 呈高组态,即不驱动总线,也不为总线的负载,锁存器的逻辑操作不受影响。当锁存允许端 LE 为高电平时,O 随数据 D 而变。当 LE 为低电平时,O 被锁存在已建立的数据电平13。根据以上的分析及系统设计的要求,此部分的电路可设计如下 2-3,单片机 1 的P0 口作为低位地址口和数据复用口,P2 口作为高位地址口。作为数据口时直接接到IDT7130 的 I/O0LI/O9L 引脚,作为地全量程现场总线系统采集节点设计12址线时,通过地址锁存器的 DOD7 端后,经 Q0Q7 接到 IDT7130 的 A

36、0LA9L。在电路中,74LS373 的 OE 端接地,使 O0O7 保持正常逻辑状态,LE 通过单片机控制,实现数据的传输或锁存。片选信号 , 读写信号 R/WL, 仲裁控制 , CELBUSYL通过单片机 1 对应引脚控,实现将单片机 1 的数据传送到 IDT7130 中。同理,单OEL片机 2 的 P0 口作为地址数据复用口,作为数据口时直接接到 IDT7130 的 I/O0RI/O9R 引脚,作为地址线时,通过地址锁存器的 DOD7 端后,经 Q0Q7 接到 IDT7130 的 A0RA9R。在电路中,74LS373 的 OE 端接地,使 O0O7 保持正常逻辑状态,LE 通过单片机控

37、制,实现数据的传输或锁存。片选信号 , 读写信号CERR/WR, 仲裁控制 , 通过单片机 2 对应引脚控,实 X 现将 IDT7130 的数BUSYROER据读到单片机 2 中,为数据的发送做好准备。另外,在设计中只考虑了一个节点,为了增强系统的实用性,可对多个节点进行组网,理论上一个 CAN 总线网络上可以挂接 00H0F7H 个节点设备,但根据控制局域网的特点及要求,在设计中节点数最多为 32 个,其中上位操作站默认为 00H,故现场节点地址为 01H31H。当采取点对点方式通信时,就必须考虑到怎样对节点的节点地址进行设置。设置中利用三态编码开关实现地址码设定,其原理图如下 2-5 所示

38、。RP1 为排阻,SGN1 为编码开关,当 1 和 10 接通时,P10 对应低电平,断开为高电平。其他引脚同理。如 1 和 10 接通,2 和 9 断开,3 和 8 接通,4 和 7 断开,5 和 6 接通,则节点对应的地址为 01010,即节点地址为 10。图 2-5 地址码设定2.3 模拟量输入通道设计在模拟量输入通道的设计中主要完成各种现场数据的输入,信号预处理,信号多路开关选择,信号调理,使之转换成能满足 A/D 转换器的输入信号。然后通过单片机本科毕业(设计)论文13对模数转换器的控制,实现全量程的数据采集。2.3.1 信号输入端子设计全量程的数据包括标准的变送器输出的 420mA

39、,15V 信号,及热电阻,热电偶信号。在输入通道的设计中要求为 4 路信号输入,由于 4 路都是一样的,电路可设计如下图 2-6 所示, RR0 为稳流电阻,在电路的设计中 RR0 的阻值一般要大,从而有效的防止信号传输中线阻的影响,一般取值为 2K。A01,A02 为电流或电压信号输入端,其中 A01 为正极,A01 为负极。通过对跨接器 JR1 的设置,可选择为电压信号还是电流信号输入。当 JR1 的 1,2 短接时,作为电流信号输入,输入的电流经 R10 转换为电压信号,在这里 R10 取值为 50 欧姆,将 420mA 的电流信号转换成比例的 0.21V。若输入的为电压信号,则将 JR

40、1 的 1,2 断开即可。当热电阻输入时,由A00、A01、A02、A03 共同构成其输入,此时 JR1 的 1,2 断开。RR0 为稳流电阻,在电路的设计中 RR0 的阻值一般要大,从而有效的防止信号传输中线阻的影响,一般取值为 2K。A00 接 MC1403 的输出端,为热电阻提供恒压源,其工作原理为:当热电阻的阻值发生变化时,其上的电压随之发生变化,其电势两端分别通过 A01,A02 接入电路。另外 L14,L15,C11,C13,C12 组成 RC 滤波,能有效的消除信号干扰在电路中C13,C12 取值为 104,即 0.1uF。各种信号经预处理后,送到信号多路选择开关。 图 2-6

41、信号输入电路全量程现场总线系统采集节点设计142.3.2 信号调理电路此部分接收来自多路选择开关来的信号,经跟随放大处理,以达到后面 A/D 转换输入电压的要求。其具体电路如下 2-7 所示,其主要由 LF412,OP07,LM258 组成,同时配合电阻,得到适合的放大倍数。其工作原理如下,LF412 部分为跟随电路,LF412的第 3 脚接信号的正极,输入信号的负极接其第 5 脚,当 JRV1 的 1,2 脚相连时,LF412图 2-7 信号处理电路对输入信号的正极和负极进行跟随,当 JRV1 的 2,3 脚相连时,对输入信号的负极放大 2 倍,设输入为 U-,输出为 Uo,则: (2-1)

42、URcRcRcUO121这里对信号放大 2 倍的目的是为了消除在热电阻测量中线阻的影响,因为在热电阻的测量中会产生 2Uo 的线阻。信号经 LF412 后,分别接到 OP07 的 2,3 脚,OP07 为精密运算放大器,能对信号进行精密放大,当 JR5 的 1,2 脚相连,JR6 的 1,2 脚相连时,在 OP07 正向端其电压为 U,则+ (2-2)1144UORLRRU设 OP07 负向端设其电压为,在 OP07 输出端电压为 U02,则为,_U_U UORRRUORRRU766_1767_(2-3) 本科毕业(设计)论文15根据虚短的概念知,=,所以由式(2-2) (2-3)可得U_U

43、(2-676_17671144RRRUoRRRUoRLRRUo4)通过对各个电阻的不同阻值的设计,就可得到不同的放大倍数。同时,因为现场来的热电偶信号比较弱,为了能使 A/D 转换器很好的工作,必须加大放大倍数。经放大后的信号送到 LM258,实现同相跟随,最后送到 A/D 转换器。图中 JR6,JR5 为电阻选择器,通过对 JR6,JR5 的选择,可得到不同的放大倍数。3其各种信号输入时跳线器的接法及放大倍数如表 2-8 所示。表 2-8 跳线器的接法及放大倍数表JRV1 的 1,3 脚相连将现场的信号负端放大 2 倍,消除热电阻测量时的线阻JRV1 的 1,2 脚相连实现对信号的跟随JR5

44、 的 1,3 脚相连JR6 的 1,2 脚相连将现场的变送器输出的电流,电压信号放大 2 倍JR5 的 1,2 脚相连JR6 的 1,3 脚相连将热电偶信号放大 10 倍2.3.3 A/D 转换部分A/D 转换部分主要实现信号的模数转换,在电路中它接收前级放大处理的信号,通过单片机的控制,实现数据的转换。在电路的设计中采用 AD7705 作为 A/D 转换器,因为其具有很多的优点。其特点为:AD7705 是利用 -转换技术实现了 16 位无丢失代码性能。该器件可以接受直接来自传感器的低电平的输入信号,然后产生串行的数字输出;增益可编程,其可调整范围为 1128;输出数据更新频率可编程,可进行自

45、效准和系统效准;带有三线串行接口,采用 3V 或 5V 工作电压,功耗低。引脚和功能说明说明如表 2-9 所示。表 2-9 引脚的功能说明表名称说明SCLK串行接口时钟输入端MCLK IN芯片工作时钟输入。可以是晶振或外部时钟,其频率范围为全量程现场总线系统采集节点设计16500kHz 到 5MHzMCLK OUT时钟信号输出。当用晶振或外的工作时钟时,晶振必须在 MCLK IN和 MCLK OUT 之间。如果采用外部时钟,则 MCLK OUT 可用于输出反相时钟信号,以作为其他芯片的时钟源。该时钟输出可以通过编程来关闭SC片选端,低电平有效REFIN(+),REFIN(-)分别为参考电压的正

46、端与负端TESER芯片复位端口。当该端为低电平时,AD7705 芯片内的接口逻辑、自校准、数据滤波器等均为上电状态。AIN1(+),AIN1(-)分别为第 1 个差分输入通道的正端与负端AIN2(+),AIN2(-)分别为第 2 个差分输入通道的正端与负端DIN串行数据输入端DOUT转换结果输出端DRDYOUT逻辑低电平时可从 A/D7705 的数据存储器获取新的输出数据VDD电源电压输入端:+2.75.25VGND内部电路的接地端,电位基准点AD7705 共有 8 个片内寄存器,他们是通信寄存器、设置寄存器、时钟寄存器、数据寄存器以及几个测试和校准寄存器。这些寄存器的任何操作都必须先写通信寄

47、存器,然后才能对其他寄存器进行操作。 通信寄存器通信寄存器是一个 8 位读/写寄存器,写入通信寄存器的数据决定下一次读/写操作在哪一个寄存器上进行,完成对所选寄存器的读/写操作后,该端口等待下一次写操作,这也是通信寄存器的缺省状态。如果在 DIN 为高电平时,写操作持续的时间足够长(至少 32 个串行时钟周期),那么 AD7705 将返回该缺省状态。通信寄存器中的RS2,RS1,RS0 为寄存器选择位,它们决定对哪一个寄存器进行读/写操作。常用的寄存器主要有通信寄存器(RS2RS1RS0= 000)、设置寄存器(RS2RS1RS0 001)、时钟寄存器(RS2RS1RS0010)以及数据寄存器

48、(RS2RS1RS0:011)。R/W 为读写选择位。该位确定对选定寄存器进行读还是写操作,“0”表示写操作,“1”表示读操作。CH1,CH0 为通道选择位,00 选择通道 1,01 选择通道 2。 设置寄存器设置寄存器是一个 8 位读/写寄存器。其中 MD1,MD0 为工作模式选择位,MD1MD0:00,01,10,11 分别对应正常工作模式、自校准、零标度系统校准以及满标度系统校准。G2,G1,GO 为增益选择位,G2G1G0=000ll1 分别对应1,2,4,8,16,32,64,128 八种增益。本科毕业(设计)论文17时钟寄存器时钟寄存器是一个 8 位读/写寄存器。其中 CLK 为时

49、钟位。如果器件的主时钟频率为 2457 6 MHz(CLKDIV 0)或 4915 2 MHz(CLKDIV=1),该位置“1”;如果主时钟频率为 1 MHz(CLKDIV;0)或 2 MHz(CLKDIV=1),该位置“0”。此外 CLK 还与 FS1和 FS0 共同选择器件的输出更新速率。数据寄存器数据寄存器是一个 16 位只读寄存器,他用来存放 AD7705 的最新转换结果。这里要注意:当对 AD7705 进行写操作时,AD7705 期望 MSB(最高有效位)在前,但微控制器(如 8051 系列)首先输出 LSB(最低有效位),因此必须对数据进行倒序。不过同时还要注意;数据寄存器虽然是一

50、个 16 位寄存器,但他由 2 个 8 位存贮单元组成,因此必须分成 2 个 8 位分别进行倒序。进行读操作时同样如此。根据以上的分析,及系统设计的要求,此部分电路可设计如图 2-10 所示。图 2-10 A/D 转化电路在此电路中,采用单极性方式输入,经前级处理后的信号接到 AIN1+端,通过单片机来控制 AD7705 的工作,复位端通过 P11 控制,片选端通过 P12 控制,SCLK,DO,DI与单片机的 TXD(P3.1)端和 RXD(P3.0)端相连,实现数据的收发,在设计中采用查询的方式判断转换是否结束,即通过对 P10 的判断,以决定是否读取转换后的数据5。设计中采用无源晶振作为

51、芯片的工作时钟,同时为了使芯片能正常的工作及为了保证全量程现场总线系统采集节点设计18转换的温度稳定性和精度,其参考电压通过 MC1403 提供, MC1403 是低压基准芯片。一般用作 812bit 的 D/A 芯片的基准电压等一些需要基本精准的基准电压的场合。 输出电流: 10 mA,输出电压为 4.5V40V,输出电压为 2.5V0.25mV。MC1403 的引脚1 接+5V 电源,3 脚接地,其 2 脚为输出。另加两个电容就构成完整的电路。在电路中CMC1,CMCY 为 0.1uF,CMC2 为 10uF。2.4 CAN 通信接口电路设计CAN 通信接口电路主要负责将现场的数据发送到

52、CAN 总线上,或从 CAN 总线上接收数据,实现节点与上位机的双向通信。在设计中核心器件是 CAN 控制器和 CAN 驱动器以及微控制器(单片机)。根据本次设计的实际情况,选择 PHILIPS 公司生产 SJA1000作为 CAN 控制器、PCA82C250 作为总线驱动器。将 CAN 总线的信息通过 SJA1000 转存到单片机;或将现场的信号通过单片机转存到 SJA1000,然后通过总线把信息传到上位机,从而实现上位机对现场的监控。另外通过光电隔离保证数据传输的正确和系统稳定,实现数据的正确传输。2.4.1 CAN 总线通信控制器 SJA1000 的介绍SJA1000 是新一代独立的 C

53、AN 控制器,主要用于移动目标和一般工业环境中的区域网络控制。它是 Philips 公司 PCA82C200 CAN 控制器的替代产品。SJA1000 的引脚如下图 2-11 示。图 2-11 SJA1000 的引脚图(1)引脚定义如下表 2-12 示:本科毕业(设计)论文19表 2-12 SJA1000 引脚描述名称符号引脚号功能描述AD7AD02,1,2823地址/数据复合总线ALE/AS3 ALE 输入信号(Inter 模式) ,AS 输入信号(Motorola 模式)CS4片选信号输入,低电平允许访问 SJA1000()/ERD5微控制器的信号(Inter 模式)或 E 使能信号(Mo

54、torola 模RD式)WR6微控制器的信号(Inter 模式)或 RD/使能信号WRWR(Motorola 模式)CLKOUT7SJA1000 产生的提供给微控制器的时钟输出信号,它来自内部振荡器且通过编程分频;时钟分频寄存器的时钟关闭位可禁止该引脚输出VSS18接地XTAL19输入到振荡器放大电路;外部振荡信号由此输入XTAL210振荡放大电路输出;使用外部振荡信号时漏极开路输出MODE11模式选择输入:1=Inter 模式;0=Motorola 模式VDD312输出驱动的 5V 电源TX013从 CAN 输出驱动器 0 输出到物理线路上TX114从 CAN 输出驱动器 1 输出到物理线路

55、上VSS315输出驱动器接地INT16中断输出,用于中断微控制器;在内部中断寄存器的任一位置1 时,低电平有效;开漏输出,且与系统中的其他输出INTINT是线性关系。此引脚上的低电平可以把该控制器从睡眠模式中激活全量程现场总线系统采集节点设计20RST17复位输入,用于复位 CAN 接口(低电平有效) ;把引脚通RST过电容连到 VSS,通过电阻连到 VDD,可自动上电复位(例如,C=1F;R=50k)VDD218输入比较器的 5V 电源RX0,RX119、20从物理的 CAN 总线输入到 SJA1000 输入比较器;显性电平将唤醒 SJA1000 的睡眠模式;如果 RX1 电平比 RX0 的

56、高,就读显性电平,反之读隐性电平;如果时钟分频寄存器的 CBP 位被置 1,CAN 输入比较器被旁路以减少内部延时;当 SJA1000 连有外部收发电路时,只有 RX0 被激活,隐性电平被认为是逻辑高而显性电平被认为是逻辑低VSS221输入比较器的接地端VDD122逻辑电路的 5V 电源(2)片内存储器介绍表 2-13 Basic CAN 寄存器总览寄存器地址寄存器地址控制寄存器 CR0总线时序 0 寄存器 BTR06命令寄存器 CMR1总线时序 1 寄存器 BTR17状态寄存器 SR2输出控制寄存器 OC8中断寄存器 IR3发送缓冲器 TXB1019验收代码寄存器 AC4接收缓冲器 RXB2

57、029验收屏蔽寄存器 AM5时钟分频器 CDR311、控制寄存器(CR)控制寄存器位于 SJA1000 寄存器区的 0 地址,用于设置 SJA1000 访问模式和各种中断。各位的意义如下表所示表 2-14 控制寄存器位CR.0CR.1CR.2CR.3CR.4CR.5CR.6CR.7符号RRRIETIEEIEOIE名称复位请求接收中断使能发送中断使能错误中断使能超载中断使能保留保留保留2、命令寄存器(CMR)对微控制器来说,命令寄存器是只写存储器,如果去读这个地址,返回值总是“11111111” 。设置该寄存器中特定的位,可以执行特定的命令,两条命令以上至少要有一个内部时钟周期的间隔。本科毕业(

58、设计)论文21命令寄存器各位的功能说明如下:表 2-15 命令寄存器位CMR.0CMR.1CMR.2CMR.3CMR.4CMR.5CMR.6CMR.7符号TRATRRBCDOGTS名 称发送请求终止发送释放接收缓冲器清除数据溢出睡 眠保 留保 留保 留3、状态寄存器(SR)状态寄存器的内容反映了 SJA1000 的状态,其各位的功能说明如下:表 2-16 状态寄存器位SR.0SR.1SR.2SR.3SR.4SR.5SE.6SE.7符号RBSDOSTBSTCSRSTSESBS名称接收缓冲器状态数据溢出状态发送缓冲器状态发送完毕状态接收状态发送状态出错状态总线状态4、中断寄存器(IR)通过中断寄存

59、器可以识别中断源。当寄存器的一位或多位被置位时,(低TNI电平有效)引脚被激活,中断寄存器被微处理器读过后,所有会导致脚上电平TNI变化的位将被复位。中断寄存器各位的功能说明表2-17 中断寄存器:位IR.0IR.1IR.2IR.3IR.4IR.5IR.6IR.7符号RITIEIDOIWUI名称接收中断发送中断错误中断数据溢出中断唤醒中断保留保留保留其余寄存器各位功能见应用手册。需要注意的是,在我们设计的电路中 VDD1,VDD2,VDD3,MODE 都通过接高电平,选通 Inter 模式,XTAL1 则必须通过 15pF 的电容连接地信号,其 ALE 引脚、片选信号CS、复位信号 RESET

60、 则分别通过 AT89C51 单片机的 ALE、P2.7、P1.6 引脚控制。而其读、写引脚(RD,WR)分别与单片机相应位连接,其余引脚参照规则即可给出10。2.4.2 总线驱动器 PCA82C250 的介绍PCA82C250 是 CAN 控制器与物理总线之间的接口,最初是为汽车中的高速应用而设计的。器件可以提供对总线的差动发送和接收功能。总线驱动器 PCA82C250 主要特性如下,具有高速率(最高可达 1Mbps);具有抗汽车环境下的瞬间干扰,保护总线能力;采用斜率控制(Slope Control),降低射频全量程现场总线系统采集节点设计22干扰(RFI);过热保护;未上电节点不会干扰总

61、线;驱动能力强,至少可连接 110 个节点。该驱动器的引脚图如下图 2-18 示表 2-18 82C250 引脚图其引脚功能描述如表 2-19 所示 表 2-19 82C250 引脚功能标记引脚功能描述TXD1发送数据输入GND2接地VCC3电源RXD4接收数据输出Vref5参考电压输出CANL6低电平 CAN 电压输入/输出CANH7高电平 CAN 电压输入/输出Rs8斜率电阻输入在这些引脚中,我们主要看看引脚 8(Rs)的功能。引脚 8 是用于选定 82C250 的工作模式的,而 82C250 有 3 种工作模式:高速、斜率控制和待机,如表 2-20 所列2-20 RS 引脚用法Rs 提供

62、条件工作模式Rs 上的电压或电流Rs 的信号电平VRs0.75VCC待机模式|IRs|10A高本科毕业(设计)论文23-10AIRs-200A斜率控制0.3 VCC VRs 0.6 VCC10kRs180 k 接地VRs0.3VCC高速模式IRs -500A低或悬空从表中我们可以看到,PCA82C250 的斜率控制是通过在 Rs 引脚和 GND 电平之间连接电阻来调整斜率的,在这种模式下工作,能够降低射频干扰,增强系统的稳定性。要改变斜率则可通过改变 Rs 电阻的阻值(既改变通过其上的电流值),因为,斜率正比于引脚 8 上的电流输出。在实际连接中,我们采用斜率控制模式,而选择 Rs 的阻值为2

63、7k。其余引脚参见其技术手册进行选择11。由以上分析,CAN 通信接口电路可设计如如图 2-21,其主要包括独立 CAN 通信控制器 SJA1000、CAN 总线收发器 82C250 和高速光电耦合器 6N137。下面将给予分析。1234ABCD4321DCBATitleNumberRevisionSizeA4Date:21-May-2007Sheet of File:C:Documents and Settings业业业业业业业业01业业业业业BA CKU P11.DD BDrawn By:vccC76104VCCC74104C7515PVCCR1390VCOMVCOMR2390R727KC

64、77104VCOMCA NBU SK3A2VC8E7GN D5OU T6U66N 137K3A2VC8E7GN D5OU T6U56N 137 C615PVCC3CA NH7CA NL6RS8TX D4RX D1GND 2 U7PCA82C250 R6390Vdd122Vdd218 Vdd312MO DE11Vss8Vss115Vss221TX 013RX 019AD 023AD 124AD 225AD 326AD 427AD 528AD 61AD 72AL E3CS4RD5WR6INT16RE SE T17XT AL9RX120U4SJA1000R86k8R93k6R10390AD 1AD

65、2AD 3AD 4AD 5AD 6AD 7AD 0XT ALP26T0RDWRP25AL EHL12J3P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78RST/VPD9P3.0/RxD10P3.1/TxD11P3.2/INT012P3.3/INT113P3.4/T014P3.5/T115P3.6/WR16P3.7/RD17XT AL 218XT AL 119GN D20P2.021P2.122P2.223P2.324P2.425P2.526P2.627P2.728PSEN29AL E/PROG30EA /Vpp31P0.732P0.633P0.534P0.435

66、P0.336P0.237P0.138P0.039VCC40U989C51VCCVCCP25P26AD 0AD 1AD 2AD 3AD 4AD 5AD 6AD 7AL EWRRDC215PF XT AL 1C115PFXTALRX DRX D图2-21 CAN通信接口电路全量程现场总线系统采集节点设计242.4.3 SJA1000 的电路设计如图 2-20 所示,在设计中 SJA1000 采用中断方式接收数据,通过将 SJA1000的 INT 引脚与单片机的中断 0 相连,实现 SJA1000 的中断数据接收。, ,与单RD WR片机对应引脚相连,实现读写控制。与单片机的 P27 脚相连,作为芯片 SJA1000CS的片选信号。VDD1,VDD2,VDD3,MODE 都通过接高电平,选通 Inter 模式,XTAL1 则必须通过 15pF 的电容连接地信号。 RX1 电平比 RX0 的高,为读显性电平。 2.4.4 光电隔离部分在系统的设计中,CAN 总线采用平衡的两线差分接口,典型工作电压为 3V 或5V,考虑到在某些工业控制领域,由于现场情况十分复杂,各个节点之间存在很高的共模电压。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!