计算机组成课程设计报告八位

上传人:仙*** 文档编号:36052566 上传时间:2021-10-29 格式:DOC 页数:26 大小:1.41MB
收藏 版权申诉 举报 下载
计算机组成课程设计报告八位_第1页
第1页 / 共26页
计算机组成课程设计报告八位_第2页
第2页 / 共26页
计算机组成课程设计报告八位_第3页
第3页 / 共26页
资源描述:

《计算机组成课程设计报告八位》由会员分享,可在线阅读,更多相关《计算机组成课程设计报告八位(26页珍藏版)》请在装配图网上搜索。

1、 计算机组成原理课程设计报告 题目名称: 基本模型计算机设计与实现 姓 名: 专 业: 计算机科学与技术 班 级: 090453 学 号: 090453 同组人员: 指导老师: 万鸣华 南昌航空大学信息工程学院 2012 年 1月3日 目录引言31、课程设计内容41.1 实验要求41.2 实验目的42、 实验原理及方案42.1 实验原理42.2 实验方案52.2.1 指令系统52.2.2模型计算机硬件62.2.3 微指令设计72.2.3.1 微代码定义72.2.3.2 微代码具体设计82.2.4 控制台解释微程序92.2.5 运行指令流程图93、 具体设计过程103.1 各个主要基本部件设计1

2、03.1.1 LDRO原理图113.1.2 寄存器124、程序测试和指令调试184.1 波形仿真184.2 实验调试185、 课程设计小结22参考文献23附录一.24引言计算机诞生以来,计算机还是主要由运算器、控制器组成CPU,用二进制数字表示计算机的操作指令和数据,将其存放在存储器中,通过CPU自动地从存储器提取指令和数据,并按照指定的顺序完成各项任务。这也改变了又来人们的生活。同时随着大规模集成电路技术的开发,计算机组织设计与实现技术也在不断发展。现在,不仅软件可编程,硬件电路也可以编程所以,在这个时候,我们更要注重一台电子计算机的整体模型,而不是一味的强调怎样去追求这个时代的计算机发展速

3、度。这已经成为我们为更新计算机硬件的必备知识。让学生通过动脑和动手解决计算机设计中的实际问题,本次课题设计便是为此应运而生的。在这次课程设计当中,我们需要结合计算机组成原理的基本理论,利用EDA技术和FPGA技术设计实现一个8位模型计算机。因此,我们需要掌握ALU,微程序控制器,时序产生电路,数据通路,存储器,指令系统单元电路的设计方法,并且在此基础上,进一步将单元电路组成系统,构造一台基本模型计算机。本报告便是通过对各关键部位进行单元和集成仿真测试后,下载到目标芯片里,最终形成一个功能较为完善的8位模型计算机系统。1、课程设计内容1.1 实验要求在Quartus 6.0上完成8位模型机的设计

4、。具体的要求如下:(1)在定义五条机器指令,并编写响应的微程序作为模型计算机的控制器;(2)使用电路框图设计模型计算机电路,并下载编程芯片为定制的简单模型CPU. (3)在实验系统上连接输入按键和输出液晶显示屏为输出的模型计算机系统。1.2 实验目的(1)深入理解基本模型计算机的功能和组成知识;(2)深入学习计算机各类典型指令的执行流程;(3)学习微程序控制器的设计过程和相关技术,掌握LPM_ROM的配置方法;(4)掌握微程序的设计方法,学会编写二进制微指令代码表; (5)在掌握部件单元电路实验的基础上,进一步将单元电路组成系统,构造一台基本模型计算机;(6)通过这次的课程设计让学生通过动脑和

5、动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。2、 实验原理及方案2.1 实验原理本实验将能在微过程控制下自动产生各部件单元控制信号,实现特定的功能。实验中,计算机数据通路的控制将由微过程控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。该模型机的总的数据通路如图2.1.1所示。图2.1.1 模型机的数据通路2.2 实验方案2.2.1 指令系统

6、本实验采用五条机器指令。指令编码如下表:表一 指令编码助记符操作码addr地址码功能描述IN00H“INPUT”R0,键盘输入数据ADD addr10HXXHR0+addr R0STA addr20HXXHR0addrOUT addr30HXXHBUS“OUTPUT”,显示输出数据JMP addr40HXXHaddrPC指令分单字节和双字节,单字节指令只有IN一条,其余都是双字节指令。指令各式如表二和表三。表二 单字节指令格式7 6 5 43 21 0操作码源寄存器目的寄存器表三 双字节指令格式7 6 5 4 3 2 1 07 6 5 4 3 2 1 0操作码操作数(内存地址码)源和目的寄存器

7、可以是三个工作寄存器之一,寄存器标号R0为00,R1为01,R2为10。为了在试验台上用键盘输入程序和数据到内存,以及通过液晶屏显示输出,设计了3个控制台操作命令,通过按键SWB 和SWA组合实现(括号中是SWB,SWA的键值): 存储器读KRD(00)操作,存储器KWE(01)操作,启动程序执行RP(11)操作。2.2.2模型计算机硬件在本此设计的模型机硬件主要有以下部分组成:运算器:采用8位运算器ALU181,实现算术逻辑运算。该电路的两个操作数输入端设置两个寄存器DR0和DR1。程序计数器PC:用来指示执行指令的地址,以便从内存取得指令。地址寄存器AR:存放并输出访问内存单元的地址。指令

8、寄存器IR:锁存取得的指令,供控制电路解码分析执行。此外,还提供了3个工作寄存器R0,R1和R2。存放可编程程序和数据的存储器RAM也在芯片上实现(像单片机一样)。各个功能模块通过总线连接。控制器采用微程序设计。整个模型机各功能部件的工作及通路连接的微操作代码如表3.11和表3.12所示。采用字宽24位的ROM存放微程序,3个3-8译码器组成24位输出译码电路。2.2.3 微指令设计 微代码定义由于在本次设计的模型机中只设计五条指令,以及它们所实现的任务,可以将每条微指令用24位的微代码来表示,如表四所示表四 24位微代码定义24232221201918171615 14 1312 11 10

9、9 8 7654321S3S2S1S0MCnWEA9A8A字段B字段C字段uA5uA4uA3uA2uA1uA0操作控制信号译码器下址字段 如表四所示的24位微代码信号的功能解释如下: S3-S0,ALU的操作选择信号,控制执行16种算术逻辑操作之一种; M,ALU操作方式选择,等于O为算术操作,等于1时执行逻辑操作; CN ,进位标志,等于0时,最低位有进位输入,等于1表示无进位; WE,控制RAM的读写信号,0值为读,1值为写; A9,A8,经译码产生键盘SW_B,存储器RAM,输出显示LED通路选择信号; A字段, 经译码产生各部件从总线输入选通信号,参考表五;表五 微指令译码字段说明A字

10、段B字段C字段151413选择121110选择987选择000000000001LDRi001RS_B001P(1)010LDR1010RD_B010P(2)011LDR2011RJ_B011P(3)100LDIR100SFT_B100P(4)101LOAD101ALU_B101LDAR110LDAR110PC_B110LDPC B字段, 经译码产生各部件到总线输出选通信号,参考表五; C字段, 经译码产生测试转移P1P4,装入程序计数器LDPC,参考表五; A5A0,微程序地址信号 微代码具体设计综上所述,实现模型机指令的解释与执行的微程序代码。这些微代码写入ROM 中。注意,表六中的微地址

11、是8进制表示。表六微代码微地址微指令S3 S2 S1 S0 M CN WE A9 A8 ABCA5A0000181100 0 0 0 0 0 0 1 10000001000100000101ED820 0 0 0 0 0 0 1 11101101100000100200C0480 0 0 0 0 0 0 0 11000000010010000300E0040 0 0 0 0 0 0 0 11100000000001000400B0050 0 0 0 0 0 0 0 10110000000001010501A2060 0 0 0 0 0 0 1 101000100000011006919A011

12、 0 0 1 0 0 0 1 10011010000000010700E00D0 0 0 0 0 0 0 0 1110000000001101100010010 0 0 0 0 0 0 0 00010000000000011101ED830 0 0 0 0 0 0 1 11101101100000111201ED870 0 0 0 0 0 0 1 11101101100001111301ED8E0 0 0 0 0 0 0 1 11101101100011101401ED960 0 0 0 0 0 0 1 1110110110010110150382010 0 0 0 0 0 0 1 10000

13、010000000011600E00F0 0 0 0 0 0 0 0 11100000000011111700A0150 0 0 0 0 0 0 0 10100000000101012001ED920 0 0 0 0 0 0 1 11101101100100102101ED940 0 0 0 0 0 0 1 11101101100101002201A0100 0 0 0 0 0 0 1 1010000000010000230180010 0 0 0 0 0 0 1 1000000000000001240620110 0 0 0 0 1 1 0 001000000001000125010A010

14、 0 0 0 0 0 0 1 00001010000000012600D1810 0 0 0 0 0 0 0 11010001100000012.2.4 控制台解释微程序为了在实验台上用键盘输入程序和数据到内存,以及通过液晶屏显示输出,设计了3个控制台操作命令,通过安检SWA和SWB组合实现,同时根据微代码的设计可以将控制台设计为如下的解释微程序。控制台键盘译码微程序流程如图2.2.4.1所示。图2.2.4.1 控制台键盘译码微程序流程2.2.5 运行指令流程图根据每条机器指令执行的微操作顺序,微程序流程图如下图:时序安排 由于模型机已经确定了指令系统,微指令采用全水平不编码纯控制场的格式,微

15、程序的入口地址采用操作码散转方式,微地址采用计数增量方式,所以可确定模型机中时序单元中所产生的每一拍的作用。为了更好地观察实验的各个中间过程中各寄存器的值,由监控单元产生一个PLS-O的信号来控制时序产生。PLS-O信号经过时序单元的处理产生了4个脉冲信号。4个脉冲信号组成一个微周期,为不同的寄存器提供工作脉冲。 PLS1: 微地址寄存器的工作脉冲,用来设置微程序的首地址及微地址加1。PLS2: PC计数器的工作脉冲,根据微指令的控制实现PC计数器加1和重置PC计数器(如跳转指令)等功能。PLS3: 把24位微指令打入3片微指令锁存器PLS4: 把当前总线上的数据打入微指令选通的寄存器3、 具

16、体设计过程 3.1 各个主要基本部件设计在设计模型机的主要的顺序是从底层开始设计,逐步向上设计,最后实现顶层的电路图的设计。3.1.1 LDRO原理图LDRO器件图3.1.2 寄存器在该模型机中用到的寄存器主要有数据寄存器、地址寄存器、工作寄存器和指令寄存器。数据寄存器是用来存放CPU主存读取的一个指令字或一个数据字,它是采用锁存器结构来实现的。他们都是可以直接从LPM库中调用的。涉及代码LIBRARY ieee;USE ieee.std_logic_1164.all; LIBRARY lpm;USE lpm.lpm_components.all; ENTITY lpm_latch0 ISPO

17、RT(data: IN STD_LOGIC_VECTOR (7 DOWNTO 0);gate: IN STD_LOGIC ;aclr: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0);END lpm_latch0;ARCHITECTURE SYN OF lpm_latch0 ISSIGNAL sub_wire0: STD_LOGIC_VECTOR (7 DOWNTO 0);COMPONENT lpm_latchGENERIC (lpm_width: NATURAL;lpm_type: STRING);PORT (aclr: IN STD_L

18、OGIC ;q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0);data: IN STD_LOGIC_VECTOR (7 DOWNTO 0);gate: IN STD_LOGIC );END COMPONENT;BEGINq 8,lpm_type = LPM_LATCH)PORT MAP (aclr = aclr,data = data,gate = gate,q = sub_wire0);END SYN;微指令存储器LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all;E

19、NTITY lpm_rom0 ISPORT(address: IN STD_LOGIC_VECTOR (5 DOWNTO 0);clock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (23 DOWNTO 0);END lpm_rom0;ARCHITECTURE SYN OF lpm_rom0 ISSIGNAL sub_wire0: STD_LOGIC_VECTOR (23 DOWNTO 0);COMPONENT altsyncramGENERIC (address_aclr_a: STRING;init_file: STRING;intended_devic

20、e_family: STRING;lpm_hint: STRING;lpm_type: STRING;numwords_a: NATURAL;operation_mode: STRING;outdata_aclr_a: STRING;outdata_reg_a: STRING;widthad_a: NATURAL;width_a: NATURAL;width_byteena_a: NATURAL);PORT (clock0: IN STD_LOGIC ;address_a: IN STD_LOGIC_VECTOR (5 DOWNTO 0);q_a: OUT STD_LOGIC_VECTOR (

21、23 DOWNTO 0);END COMPONENT;BEGINq NONE,init_file = ROM_5.mif,intended_device_family = Cyclone,lpm_hint = ENABLE_RUNTIME_MOD=YES, INSTANCE_NAME=rom5,lpm_type = altsyncram,numwords_a = 64,operation_mode = ROM,outdata_aclr_a = NONE,outdata_reg_a = UNREGISTERED,widthad_a = 6,width_a = 24,width_byteena_a

22、 = 1)PORT MAP (clock0 = clock,address_a = address,q_a = sub_wire0);3.1.3控制台3.2 模型机顶层框图设计 通过各个小的部件的设计,最后将各个部件如数据通路一样的将部件连接起来,完成如图的顶层框图。4、程序测试和指令调试4.1 波形仿真电路的设计与仿真在Quartus 6.0的环境下完成的,硬件测试平台为杭州康芯电子有限公司“SOPC/EDA组成原理实验开发系统”上完成的。其中编写的rom5.mif保存在预实验电路cpu5.bdf工程所在的文件夹中,与实验电路cpu5.bdf一同编译后得到下载文件cpu5.sof,设计波形激

23、励文件进行波形的仿真,结果如图4.1.1.图4.1.1 波形仿真图4.2 实验调试下载编程和试验台联机测试下载编程芯片方法在前面实验已经介绍,下面主要讲述测试。在GW48实验系统上选用电路模式NO.0。实验台资源应用说明如下:l 液晶屏LCD 显示程序运行监测信息如图3.39,功能说明如表七。l 数码管LED,数码管2,1显示手工输入数据的值。 表七 LCD液晶显示功能说明名称作用名称作用名称作用ININPUT 输入单元 OUTOUTPUT 输出单元ALU算术逻辑单元R0寄存器R0R1寄存器R1R2寄存器R2DR1暂存器DR1DR2暂存器DR2BUS内部数据总线PC程序计数器AR地址寄存器RA

24、M程序/数据存储器IR指令积存器A微程序地址MC微指令代码l 键盘,按键8,7,4,3 分别表示模型机复位RST,模拟节拍SETP,控制台控制信号SWB,SWA。是乒乓开关,按一次为高电平,对应的发光管点亮,再按一次即变为低平,对应发光管灭,再按又变高。l 按键2,1 为数据录入键,16进制输入,每按一次加一,显示在数码管上。1)手动输入实验程序实验程序用来检查模型机的指令执行情况,包含了指令系统全部5条指令,用机器指令代码编程,参考实验程序如表八:表八 机器指令试验程序存储器地址内容助记符说明00H00HIN“INPUT”R0,键盘输入数据01H10HADD0AHR0+0AHR002H0AH

25、03H20HSTA0BHR00BH04H0BH05H30HOUTOBH0BH“OUTPUT”,显示输出数据06H0BH09HPC,以08H内容为转移地址07H40H JMP08H08H00H 09H00H 0AH34HDB 34H被加数0BHXXH求和结果输入程序的方法如下:通过按键4,3,置SWB,SWA为01,并按复位按键8.令模型机工作在控制台写存储器状态,执行KWE微程序;通过按键2,1输入实验程序代码数据,按键数据显示在数码管2,1上。然后再按单步STEP按键7两次,产生低高低电平变化的正脉冲,可以通过液晶屏显示的内容跟踪控制台写KWE微程序的执行过程。当3次正脉冲(每次按键7两次)

26、后,液晶屏显示RAM等于键2,1输入的值,这时,可以继续通过键2,1 输入第2个数据,直至机器指令实验程序代码全部输入完毕。仿照操作,只是设置SWB,SWA为00,然后按复位按键8。通过手动键7跟踪控制台读存储器操作(KRD)微程序的执行。并核对输入模型机RAM中的数据是否正确。注意,此操作不是必须的。可以在计算机上编译好代码文件,并随同模型CPU设计文件一同编译进SOF下载文件中,直接下载进入FPGA。方法可以按如下步骤完成:在Quartus环境下,打开工程文件CPU5A,修改CPU5A.bdf中LPM_RAM_DQ的参数,将初始化文件LPM_FILE设置为“./ram_1.mif”;打开r

27、am_1.mif(在示例中已有此文件),根据实验程序,在ram_1.mif中输入全部机器指令代码(示例中已经输入)。将工程文件重新编译后,下载到实验台中,即完成LPM_RAM的配置。2)通过液晶屏监视,单步跟踪试验程序机器指令的解释执行置SWB,SWA为11,并按复位按键8,启动程序运行(RP)微程序(参看图4.3)。 每按键7两次产生一个STEP单步脉冲,跟踪机器指令和微指令程序的执行。执行轨迹如表九。3)使用Quartus的In-System Memory Content Editor了解CPU运行情况。使用在系统读写工具对模型CPU中的存放微程序的ROM和存放程序与数据的RAM进行观察。

28、实验系统控制选择同上。利用Quartus的In-System Memory Content Editor,将载于FPGA中CPU内RAM/ROM的数据读出。方法是从菜单选择Tool|In-System Memory Content Editor,即可进入在系统存储器读写。对于In-System Memory Content Editor,将读数据选择在循环读数据功能上。按键8,使其低电平,允许程序运行,键2、1输入运算数据56H,连续按键7,即STEP,完成整个程序运行后,可以发现RAM位置“34”数据旁出现“8A”即45H+67H之和,并已经写到RAM中的0BH单元中。表九 机器指令试验程序

29、执行情况STEP下址A微指令MCPCIR说明10001811000OO复位之后,第1条微指令是判断控制台命令SMB,SWA 223018101SMB,SWA=11,转RP 自动执行程序30100800140201ED8201第1个指令周期开始51000C04800取RAM中的第1条试验程序指令,指令是IN60100100102接收键盘输入数据,放到工作寄存器R070201ED82第2个指令周期开始80900C04810取RAM中的第2条试验程序指令,指令是ADD90301ED8303间接寻址,取地址100400E004地址置入AR110500B005从RAM中取数据到DR2 120601A20

30、6R0数据送DR11301919A01(DR1) +(DR2)送R0 140201ED8204第3个指令周期开始151200C04820取RAM中的第3条试验程序指令,指令是STA160701ED8705间接寻址取,取地址171500E00D地址置入AR1801038201R0 内容送RAM 190201ED8206第4个指令周期开始201300C04830取RAM中的第4条试验程序指令,指令是OUT5、 课程设计小结计算机组成原理是直接针对计算机基本组成原理的专业课程。通过一学期的学习,了解了通用微型计算机的组成原理和基本工作原理。在三门专业基础课程的基础上,进一步了解了计算机工作的步骤:取

31、得指令地址-读取指令-翻译指令-执行指令。这一过程即是冯诺依曼计算机思想的精髓,也是当代计算机的基本工作原理和工作过程。了解这一原理,掌握这一原理,是学习计算机硬件的基本要求。在两周的时间里,我们组分工明确,并且查阅了十篇左右的相关论文和书籍。在充分了解模型计算机的原理的基础上,基本实现了模型计算机的结构。在设计过程中,也出现了很多的问题,主要的设计工作在于微程序控制器的设计上,需利用地址转移逻辑来实现指令的跳转,从而使其能执行完所有的指令。通过这次实践,充分认识到知识的综合运用的重要性,而且任何一个不严谨的地方都有可能导致错误,同时这次实践使自己对计算机的工作原理有了一个系统的认识,也为以后

32、专业课的学习打下了基础。通过此次实验,首先,我更一步熟悉了指令、微指令等等相关的一些基本知识以及与此次实验所连接到的其它科目的一些知识;其次,我体会到这次课程设计实验是不同与我们之前所做的一些小实验,它是前面这些小实验结合在一起的综合运用;再次,这次实验进一步加深了我对此实验平台的理解和运用,同时也使我了解到了它的漏洞和缺点;然后,此次实验告诉我,要善于运用所学的知识运用到实际操作中,加强自己动手动脑的能力,加强独立思考分析的能力并以此检验所学知识的牢固、扎实;最后,此次实验提醒我,知识的缺乏和漏洞以及运用知识实现真正需求的问题总是存在的,因此,我必需在以后进一步加强运用知识解决问题和实际动手

33、的能力。参考文献1 白中英.计算机组成原理 科学出版社 2008年2 王静、刘莹. 基于FPGA的高可靠接口模块设计 北京大学出版社 2009年3 白中英. 计算机组成原理题解、题库、实验 科学术出版社,2006.84 王爱英.计算机组成与结构 清华大学出版社,1999附录一 蚇肈莃蒈螀芃艿蒇袂肆膅蒆肄衿薄蒅螄膄蒀蒄袆羇莆蒃罿膃节蒃蚈羆膈蒂螁膁蒆薁袃羄莂薀羅腿芈蕿蚅羂芄薈袇芈膀薇罿肀葿薇虿芆莅薆螁聿芁薅袄芄膇蚄羆肇蒆蚃蚆袀莂蚂螈肅莈蚁羀羈芄蚁蚀膄膀蚀螂羆蒈虿袅膂莄蚈羇羅芀螇蚇膀膆螆蝿羃蒅螅羁膈蒁螅肄肁莇螄螃芇芃莀袅肀腿荿羈芅蒇莈蚇肈莃蒈螀芃艿蒇袂肆膅蒆肄衿薄蒅螄膄蒀蒄袆羇莆蒃罿膃节蒃蚈羆膈蒂螁

34、膁蒆薁袃羄莂薀羅腿芈蕿蚅羂芄薈袇芈膀薇罿肀葿薇虿芆莅薆螁聿芁薅袄芄膇蚄羆肇蒆蚃蚆袀莂蚂螈肅莈蚁羀羈芄蚁蚀膄膀蚀螂羆蒈虿袅膂莄蚈羇羅芀螇蚇膀膆螆蝿羃蒅螅羁膈蒁螅肄肁莇螄螃芇芃莀袅肀腿荿羈芅蒇莈蚇肈莃蒈螀芃艿蒇袂肆膅蒆肄衿薄蒅螄膄蒀蒄袆羇莆蒃罿膃节蒃蚈羆膈蒂螁膁蒆薁袃羄莂薀羅腿芈蕿蚅羂芄薈袇芈膀薇罿肀葿薇虿芆莅薆螁聿芁薅袄芄膇蚄羆肇蒆蚃蚆袀莂蚂螈肅莈蚁羀羈芄蚁蚀膄膀蚀螂羆蒈虿袅膂莄蚈羇羅芀螇蚇膀膆螆蝿羃蒅螅羁膈蒁螅肄肁莇螄螃芇芃莀袅肀腿荿羈芅蒇莈蚇肈莃蒈螀芃艿蒇袂肆膅蒆肄衿薄蒅螄膄蒀蒄袆羇莆蒃罿膃节蒃蚈羆膈蒂螁膁蒆薁袃羄莂薀羅腿芈蕿蚅羂芄薈袇芈膀薇罿肀葿薇虿芆莅薆螁聿芁薅袄芄膇蚄羆肇蒆蚃蚆袀莂蚂螈

35、肅莈蚁羀羈芄蚁蚀膄膀蚀螂羆蒈虿袅膂莄蚈羇羅芀螇蚇膀膆螆蝿羃蒅螅羁膈蒁螅肄肁莇螄螃芇芃莀袅肀腿荿羈芅蒇莈蚇肈莃蒈螀芃艿蒇袂肆膅蒆肄衿薄蒅螄膄蒀蒄袆羇莆蒃罿膃节蒃蚈羆膈蒂螁膁蒆薁袃羄莂薀羅腿芈蕿蚅羂芄薈袇芈膀薇罿肀葿薇虿芆莅薆螁聿芁薅袄芄膇蚄羆肇蒆蚃蚆袀莂蚂螈肅莈蚁羀羈芄蚁蚀膄膀蚀螂羆蒈虿袅膂莄蚈羇羅芀螇蚇膀膆螆蝿羃蒅螅羁膈蒁螅肄肁莇螄螃芇芃莀袅肀腿荿羈芅蒇莈蚇肈莃蒈螀芃艿蒇袂肆膅蒆肄衿薄蒅螄膄蒀蒄袆羇莆蒃罿膃节蒃蚈羆膈蒂螁膁蒆薁袃羄莂薀羅腿芈莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁

36、袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈

37、蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆

38、螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃

39、肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂芄蒄蚀羇腿薃螂螀肅薂蒂羅羁薂薄螈莀薁螇肄芆薀衿袇膂蕿薈肂肈膆蚁袅羄膅螃肀芃芄蒃袃腿芃薅聿肅节螇袁肁芁袀螄荿芀蕿羀芅芀蚂螃膁艿螄羈肇芈蒄螁羃莇薆羆节莆蚈蝿膈莅袁羅膄莄薀袇肀莄蚂肃羆莃螅袆芄莂蒄肁膀莁薇袄肆蒀虿聿羂葿螁袂芁蒈蒁蚅芇蒈蚃羁膃蒇螆螃聿蒆蒅罿羅蒅薈螂

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!