QuartusII使用教程完整实例2

上传人:仙*** 文档编号:34844368 上传时间:2021-10-23 格式:DOC 页数:14 大小:1.02MB
收藏 版权申诉 举报 下载
QuartusII使用教程完整实例2_第1页
第1页 / 共14页
QuartusII使用教程完整实例2_第2页
第2页 / 共14页
QuartusII使用教程完整实例2_第3页
第3页 / 共14页
资源描述:

《QuartusII使用教程完整实例2》由会员分享,可在线阅读,更多相关《QuartusII使用教程完整实例2(14页珍藏版)》请在装配图网上搜索。

1、Quartus 入门教程(一个Verilog程序的编译和功能仿真)Quartus 是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA工具进行初步的学习。使大家以后的数字系统设计更加容易上手。菜单栏快捷工具栏第一步:打开软件任务管理窗口信息栏工作区资源管理窗口l 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。l 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。l 信息栏

2、:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。所建工程的保存路径第二步:新建工程(filenew Project Wizard)1 工程名称:顶层模块名(芯片级设计为实体名),要求与工程名称相同如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中。工程名称2添加已有文件(没有已有文件的直接跳过next)3 选择芯片型号(我们选择cylone II系列下的EP2C70F896C6芯片)(注:如果不下载到开发板上进行测试,这一步可以不用设置)选择芯片快速搜索所需的芯片所选的芯片的系列型号4 选择仿真,综合工具(第一次实验全部利用quartus做,三

3、项都选None,然后next)选择时序分析仪选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none选择第三方综合工具,如果使用Quartus内部综合工具则选择none5 工程建立完成(点finish)工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA工具选择情况,以及模块名等等信息。第三步:添加文件(filenew VHDL file),新建完成之后要先保存。我们选择Verilog HDL File设计文件格式既选择Verilog文本输入形式第四步:编写程序以实现一个与门和或门为例,Verilog描述源文件如下:module test(a,b,out1,out2);i

4、nput a,b;output out1,out2;assign out1=a&b;assign out2=a | b;endmodule然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis)语法检查成功,没有error级别以上的错误该窗口显示了语法检查后的详细信息,包括所使用的io口资源的多少等内容,相应的英文名大家可以自己查阅点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner)(注:如果不下载到开发板上进行测试,引脚可以不用分配)顶层某块的输入输出口与物理的芯片端口想对应各个端口的输入输出类型双击locati

5、on 为您的输入输出配置引脚。选择为使用端口选项卡第七步:整体编译(工具栏的按钮(start Complilation)该窗口给出综合后代码的资源使用情况既芯片型号等等信息。第八步:testbench仿真(1)仿真环境配置:(2)点击菜单栏中processing,选择start,选择start testbench template write。此时会自动生成testbench模板到项目文件夹simulationmodelsim里面,后缀为.vt在quatusii界面打开simulationmodelsim文件夹下的.vt文件进行修改编辑,程序如下,修改完毕,保存:(3) 在项目管理窗器件上右击选择settings 打开如下界面:点击 按照.vt内容(Test bench name 要和)填写上面内容, 选择modelsim文件夹下.vt文件记得点击Add依次点击确认,完成设置(4) 选择tools/options,在EDA Tool Options下确定ModelSim-Altera的中ModelSim.exe所在文件夹,通常在modelsim_asewin32aloem下(5)点击ToolsRUN EDA simulation ToolsRTL simulation即可。若无错误,系统可自动调用Modesim, 并弹出,选择否来看仿真结果,波形窗口将出现以下仿真波形。14

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!