八路抢答器课程设计

上传人:仙*** 文档编号:33893698 上传时间:2021-10-19 格式:DOC 页数:20 大小:669.52KB
收藏 版权申诉 举报 下载
八路抢答器课程设计_第1页
第1页 / 共20页
八路抢答器课程设计_第2页
第2页 / 共20页
八路抢答器课程设计_第3页
第3页 / 共20页
资源描述:

《八路抢答器课程设计》由会员分享,可在线阅读,更多相关《八路抢答器课程设计(20页珍藏版)》请在装配图网上搜索。

1、目 录1 前言111 EDA、VHDL简介11.1.1 EDA技术11.1.2 硬件描述语言VHDL22 设计方案的论证52.1 方案一52.2 方案二62.3 方案三72.4 方案选定73 设计实现83.1单元模块功能介绍及电路设计83.1.1 CPLD最小系统电路83.1.2 时钟电路83.1.3 JTAG下载电路83.1.4电源模块电路93.2 模块介绍及说明93.2.1抢答检测模块93.2.2锁存模块103.2.3 抢答完成显示模块103.3 Quartus仿真及说明114 系统调试及验证135 总结155.1 收获体会155.2 设计改进155.3 致谢156 参考文献16附录一 原

2、理图17附录二 FPGA中顶层模块连接图18 第 页1 前言FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA是20世纪80年代中期出现的高密度可编程逻辑器件,它的内部集成度高,主要有与阵列、或阵列、输入缓冲电路、输出宏单元等组成。具有在系统编程功能,通过编写程序设计内部电路,并使内部电路互连,就可以完成某个电路或系统的功能、设计出的电路按硬件方式工作

3、、延迟时间极小,工作性能稳定,安装简便。抢答器控制系统是工厂、学校和电视台等单位举办各种致力竞赛等娱乐活动中经常使用的重要基础设备之一。本文介绍的以FPGA为控制核心的抢答器,与传统的PCB板设计、PIC设计或者用单片机设计方法相比较,不仅简化了接口和控制,也提高了系统的整体性能和工作可靠性,具有电路简单、操作方便、灵敏可靠等优点。在电子信息类本科教学中,电子技术课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以

4、上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。通过数字抢答器课程设计可实现让学生初步掌握电子线路的试验、设计方法和课程设计为后续的毕业设计打好基础。11 EDA、VHDL简介 1.1.1 EDA技术EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以

5、计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主

6、要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大。中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PCB制板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要引进和学习一些最新的EDA技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品

7、,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方面发展。外设技术与EDA工程相结合的市场前景看好,

8、如组合超大屏幕的相关连接,多屏幕技术也有所发展。中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具在各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年夏合增长率分别达到了50%和30%。1.1.2 硬件描述语言VHDLVHDL的英文全名是Very-High-Speed In

9、tegrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现

10、在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHD

11、L主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。VHDL比其它硬件描述语言相比有以下优点: (1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从

12、而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(5)VHDL对设计的描述具有相对独立性

13、,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。应用VHDL进行系统设计,有以下几方面的特点。(一)功能强大VHDL具有功能强大的语言结构。它可以用明确的代码描述复杂的控制逻辑设计。并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。VHDL是一种设计、仿真和综合的标准硬件描述语言。(二)可移植性VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台。此外,通过更换库再重新综合很容易移植为ASIC设计。(三)独立性VHDL

14、的硬件描述与具体的工艺技术和硬件结构无关。设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。(四)可操作性由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。(五)灵活性VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。使其在任何大系统的设计中,随时可对设计进行仿真模拟。所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功

15、能的可行性进行查验,并做出决策。2 设计方案的论证2.1 方案一如图2.1为由单片机组成的抢答器的电路原理图,单片机AT90S1200的PB口的PB2PB5为输入口,接抢答按键开关,当有某个按键按下时,对应口的电位跳低,被单片机检测到并执行相应的程序,比如让数码管显示或者控制音响电路发声等。PD口接数码管,用于显示哪个组抢到,并一数值方式显示出来。PB口的PB0通过电容接到门铃音乐集成电路的触发端,当有某一组抢到时发出声音。电路中,轻触开关SB为复位开关,按下SB,可以让系统复位。当一次抢答完毕以后,只有按下SB,让系统复位,在下一次抢答时,各个组的抢答按键才有用,否则,抢答按键没有作用。图2

16、.1 单片机组成抢答器电路原理图2.2 方案二如图2.2所示数字抢答器构成的总体方框图。其工作原理为:接通电源后,抢答主体机器自动清零(复位),此时主持人不按键(实现清零),抢答器处于禁止状态,数码管显示0。当需要实现抢答时候,主持人将开关接通,拨到清除状态,实现再次清零。宣布开始抢答器工作,从而能够实现抢答,定时器倒计时。选手在定时时间内抢答时,抢答器完成:优先判断(时间的优先设定)、编号锁存、译码、编号显示。当一轮抢答之后,定时器停止、禁止二次抢答。如果再次抢答必须由主持人再次操作清零状态开关。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。选手抢答实行优

17、先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,当主持人启动清零键后,定时器进行减计时,参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统禁止抢答,数码管上显示0,并报警(蜂鸣器响),实现抢答无效状态。图2.2所示数字抢答器构成的总体方框图2.3 方案三如图2.3所示由CMOS构成的数显抢答器总体方框图,常用CMOS集成电路制作,由触发器,编码器,显示译码器,音响电路等组成.用七段数码管显示抢答者的组别号码,并有声音指示已有人抢答,有人抢

18、答后能自动闭锁其他各电路输入,使其他组再按开关时失去作用。当电源闭合,先由主持人按复位按钮开关后,直接置零端有效,各触发器输出全为低电平,当有人最先按下按钮开关,信号(低电平)经过触发器编码译码和对电路进行锁闭显示最先抢答者编号。同时有其他人按下按钮,报警电路将发出声音,显示该路违规。图2.3 由CMOS构成的数显抢答器方框图2.4 方案选定综上所述:各种元器件构成的数字式抢答器都有自己的特点,TTL电路是电流控制器件,而CMOS电路是电压控制器件,TTL电路的速度快,电路所要的元器件容易获得。方案二是用复杂可编程逻辑器件CPLD,并且由于方案二在程序编辑上可以实现软件调试,与硬件无关,从而可

19、以减少硬件故障,降低发生故障的几率,维护方便,调试简单,稳定性好,功耗低。根据我们现在的能力和经验,第二个方案是比较适合我们所设计的。3 设计实现3.1单元模块功能介绍及电路设计3.1.1 CPLD最小系统电路FPGA器件具有速度快、功耗低、保密性好、程序设计灵活、抗干扰能力强、与外围电路接口方便等特点,越来越多的应用于各种工控、测量、仪器仪表等方面。本系统使用的是ALTERA公司的EP2C8Q208C。要让其工作,必须附加时钟电路和JTAG下载电路构成最小系统。抢答完成后,输入信号(抢答器数字号)的译码显示送入数码管,进行显示。3.1.2 时钟电路本系统采用的50M有源晶振。3.3V电源电压

20、对其供电,其原理图如图3.1所示。图3.1时钟电路原理图3.1.3 JTAG下载电路JTAG下载电路是为MAXEPM570在程序用的,其原理图如图3.2所示。图3.2 JTAG下载电路3.1.4电源模块电路图3.3 电源电路图3.3为电源电路框图。基本电源提供是由直流稳压电源提供5V,由于存在波动电压,从而要用7805进行稳压产生一个5V电压,用电容滤波,去掉杂波使电压更加稳定,以此作为基础,通过LM1117降压为系统需要的标准3.3V。LM1117是一个低压差电压调节器系列。其压差在1.2V输出,负载电流为800mA时为1.2V。它与国家半导体的工业标准器件LM317有相同的管脚排列。LM1

21、117有可调电压的版本,通过2个外部电阻可实现1.2513.8V输出电压范围。另外还有5个固定电压输出(1.8V、2.5V、2.85V、3.3V和5V)的型号。 LM1117提供电流限制和热保护。电路包含1个齐纳调节的带隙参考电压以确保输出电压的精度在1%以内。LM1117系列具有LLP、TO.263、SOT.223、TO.220和TO.252 D.PAK封装。输出端需要一个至少10uF的钽电容来改善瞬态响应和稳定性。提供1.8V、2.5V、2.85V、3.3V、5V和可调电压的型号,节省空间的SOT.223和LLP封装及电流限制和热保护功能,输出电流可达800mA, 线性调整率:0.2% (

22、Max) 负载调整率:0.4% (Max) 温度范围LM1117:0125 LM1117I:.40125。3.2 模块介绍及说明3.2.1抢答检测模块如图3.4,该模块主要实现的功能是检测8个按键输入是否有按键按下,在没有按键按下时,ina7:0的值是8b1111_1111;当有按键按下时该按键的值为0;因为enable的值是&ina;所以当有按键按下时enable的值为0,而enable作为下一个锁存模块的使能信号。图3.4 抢答模块3.2.2锁存模块该模块主要完成的功能是在enable出现下降沿时,锁存住ina7:0的值,并且将这个值赋给lockdata7:0。然后当clr值为1时,无论e

23、nable是否出现下降沿lockdata7:0的值都不改变;只有当clr的值为0后,在enable出现下降沿时才能给lockdata重新赋值。以此用来避免按下按键的存在先后顺序而影响抢答判断错误。如图3.5锁存模块。图3.5 锁存模块。3.2.3 抢答完成显示模块如图3.6为抢答完成显示模块。模块主要完成的功能是将抢答成功的队的号数用数码管显示出来,将ina7:0的值转换成数码管的显示数码,转换规则对于 1111_1111-1100_0000(0)是说明主持人开启抢答还没有抢答信号出现,规则如下,:1111_1110-1111_1001(1)、1111_1101-1010_0100(2)111

24、1_1011-1011_0000(3)、1111_0111-1001_1001(4)1110_1111-1001_0010(5)、1101_1111-1000_0010(6)1011_1111-1111_1000(7)、0111_1111-1000_0000(8)如图3.6 抢答完成显示模块3.3 Quartus仿真及说明Quartus仿真分功能仿真和时序仿真,两种仿真都需要在编译源*.vhd,程序后,新建一个与源程序同名的,在*.vwf文件中,由Insert Node or bus 进入,导入全部I/O.在主菜单里的Tools-Simulator Tool 进入,弹出对话框, 有Simula

25、tion Mode 和Simulation Input 两个在上面的对话框,选择仿真为 Functional ,则右边的Generate Functional Simulation Netlist 字体变黑,先点击,生成Netlist,再点 START进行仿真。选择时序仿真Timing ,则右边按钮为灰色,无法点击,可直接进行时序仿真,而不用先生成Netlist.当机器进行了复位(RST),主持人也按键允许实现抢答(CLR),此时各组开始抢答,按照时间先后进行,率先抢答则译码器输出确定的值。如图3.7抢答实现(正常抢答)。可以看到在主持人未按允许按键时抢答器三按键进行抢答,但无效,只有在主持人

26、按键允许后,才会有效(此时抢答器六实现了抢答)。而最后译码输出,是数码管的电平值,低点亮,从而由图知,显示的是“7”。clk时钟信号,作为内部基准脉冲(低电平有效);clr 主持人允许信号,启动过后才能实现抢答(低电平有效);duinumber输出显示电平,该电平是直接进行了译码,电平可以直接送入数码管(低电平有效);ina8路抢答器,作为8路输入信号(低电平有效);rst 机器自身清零信号,是整个抢答系统开始工作的初始条件,也叫做系统上电复位端(低电平有效)。图3.7 抢答实现为了便于观察我们采取了直观的功能仿真,从而不会存在器件自身的延时。主持人未按清零,抢答无效,如图3.8。当主持人未按

27、下抢答允许键,抢答器进行的抢答无效。从而数出码值为“0”,即无抢答。clk时钟信号,作为内部基准脉冲(低电平有效);clr 主持人允许信号,启动过后才能实现抢答(低电平有效);duinumber输出显示电平,该电平是直接进行了译码,电平可以直接送入数码管(低电平有效);ina8路抢答器,作为8路输入信号(低电平有效);rst 机器自身清零信号,是整个抢答系统开始工作的初始条件,也叫做系统上电复位端(低电平有效)。图3.8 抢答无效机器与主持人清零,可同步实现,如图3.9。当机器与主持人同时实现按键清零。此时进行抢答,依旧可以实现功能。从输出可以看到,最后输出的电平显示是“1”。clk时钟信号,

28、作为内部基准脉冲(低电平有效);clr 主持人允许信号,启动过后才能实现抢答(低电平有效);duinumber输出显示电平,该电平是直接进行了译码,电平可以直接送入数码管(低电平有效);ina8路抢答器,作为8路输入信号(低电平有效);rst 机器自身清零信号,是整个抢答系统开始工作的初始条件,也叫做系统上电复位端(低电平有效)。图3.9 机器、主持人同时清零可实现抢答4 系统调试及验证调试部分,设定条件进行仿真。1、进行多个问题的多次抢答。可以从最后的输出结果看出是否实现数码管的显示(低电平点亮)。如图4.1,可以看出功能正常实现。clk时钟信号,作为内部基准脉冲(低电平有效);clr 主持

29、人允许信号,启动过后才能实现抢答(低电平有效);duinumber输出显示电平,该电平是直接进行了译码,电平可以直接送入数码管(低电平有效);ina8路抢答器,作为8路输入信号(低电平有效);rst 机器自身清零信号,是整个抢答系统开始工作的初始条件(低电平有效)。图4.1 多个问题的多次抢答2、同一个问题进行多次抢答,但进行抢答按键时间有先后,从而看出设计是否实现了率先判定抢答,并进行显示的功能。如图4.2,可以看出功能正常实现。clk时钟信号,作为内部基准脉冲(低电平有效);clr 主持人允许信号,启动过后才能实现抢答(低电平有效);duinumber输出显示电平,该电平是直接进行了译码,

30、电平可以直接送入数码管(低电平有效);ina8路抢答器,作为8路输入信号(低电平有效);rst 机器自身清零信号,是整个抢答系统开始工作的初始条件(低电平有效)。图4.2同一问题进行多次抢答5 总结5.1 收获体会这次设计让我感触很深,使我对抽象的理论有了具体的认识以及提升了自己的设计能力。设计能力是我们将来必需的技能,这次设计恰恰给我提供了一个应用自己所学知识的机会,深刻体会到从理论到实践的过渡过程。通过本次设计,我们在对EDA这门技术上有了更深刻的认识,也从实践的例子中去感受到了EDA设计给我们设计带来的改变与进步。我们不仅掌握QuartusII软件的使用,与此同时,我们还对电子设计的思路

31、有了更多的认识。通过对EDA设计中的TOP-DOWN设计方式的运用,体会到了对于一个大型系统的设计方案选取应从顶向下的设计思路,这与传统的至底向上的设计方式有很大改进,且设计效率得到大大提高。通过这次课程设计使我懂得了理论与实际相结合是很重要的,并且在操作过程中,能够提高自己的实际动手能力和独立思考的能力。对我而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次设计必将成为我人生旅途上一个非常美好的回忆!5.2 设计改进由于时间仓促和我们自身水平有限,本设计在功能上也只是完成了一些基本功能和基本的按键控制,在对软件进行仿真时能够完成我们最初设定的基本功能,但是仿真

32、是部分时间段有毛刺。对于电路的可靠性,稳定性等参数还未做过详细的测试。在实际中,对电路进行抢答超时以后,对其进行报警,针对蜂鸣器的设计上还有所不足。5.3 致谢在老师的辛勤指导下、诸多师兄们的帮助下,我们小组积极讨论和思考,完成了课程设计。此次设计,使我们受益匪浅。在此我要感谢学院提供这次课程设计的机会,在这里我要特别感谢老师、师兄们,当遇到困难问题向他们请教时,他们都很耐心的给予我帮助、支持,并共同面对、分析、解决出现的问题。在我们的设计过程中,由于至始至终都得到了他们的悉心指导以及大力的帮助,我们的设计才得以顺利完成,万分感谢!6 参考文献1 卢毅编著.VHDL与数字电路设计M.北京.科技

33、大学出版.20012 胡宴如.模拟电子技术.第二版J.北京:高等教育出版社,2004, P21-P233 杨志忠.数字电子技术.第二版M.北京:高等教育出版社,2003, P67-P694 Nordic VLSI ASA. nRF24E1 and nRF24E2 RF layoutsP. Application Note, ordercode: 200503-nAN24-0.2003., P56-P595 陈晓文.电子线路课程设计J.北京:电子工业出版社,2004. P16-P246 汪琳.电子工程师. PMOS电路设计M,2007年9月,P78-P84 7 廖先芸.电子技术实践与训练M.北京:高等教育出版社,2004, P12-P158 侯佰亨,顾新编著.VHDL硬件描述语言与实际应用M.西安.西安电子科社. P22-P41附录一 原理图原理图附录二 FPGA中顶层模块连接图 FPGA中顶层模块连接图 18第 页

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!