新版数字电路实验指导书

上传人:仙*** 文档编号:33115103 上传时间:2021-10-16 格式:DOC 页数:53 大小:2.68MB
收藏 版权申诉 举报 下载
新版数字电路实验指导书_第1页
第1页 / 共53页
新版数字电路实验指导书_第2页
第2页 / 共53页
新版数字电路实验指导书_第3页
第3页 / 共53页
资源描述:

《新版数字电路实验指导书》由会员分享,可在线阅读,更多相关《新版数字电路实验指导书(53页珍藏版)》请在装配图网上搜索。

1、数字电子技术实验指导书适用专业:电子信息工程、应用电子浙江师范大学电工电子实验教学中心冯根良 张长江目 录实验项目实验一 门电路逻辑功能的测试验证型(1)实验二 组合逻辑电路(半加器全加器及逻辑运算)验证型(7)实验三 组合逻辑电路(译码器和数据选择器)验证型(13)实验四 触发器验证型(17)实验五 时序电路(计数器、移位寄存器)验证型(22)实验六 组合逻辑电路的设计和逻辑功能验证设计型(27)实验七 D/A-A/D转换器设计型(34)实验八 555定时的应用设计型(41)实验九 集成电路多种计数器综合应用综合型(46)实验一 门电路逻辑功能及测试一、实验目的1. 熟悉门电路的逻辑功能、逻

2、辑表达式、逻辑符号、等效逻辑图。2. 掌握数字电路实验箱及示波器的使用方法。3、学会检测基本门电路的方法。二、实验仪器及材料1、仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件:74LS00 二输入端四与非门 2片74LS20 四输入端双与非门 1片74LS86 二输入端四异或门 1片三、预习要求1. 预习门电路相应的逻辑表达式。2. 熟悉所用集成电路的引脚排列及用途。四、实验内容及步骤实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实

3、验。实验中改动接线须先断开电源,接好线后再通电实验。1.与非门电路逻辑功能的测试图 1.1(1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1K4的逻辑开关输出插口,输出端接电平显示发光二极管D1D4任意一个。(2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。表1.1输入输出1(k1)2(k2)4(k3)5(k4)Yzhe电压值(v)zhi(V)HHHHLHHHLLHHLLLHLLLL2. 异或门逻辑功能的测试 图 1.2(1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K

4、1K4),输出端A、B、Y接电平显示发光二极管。(2)将逻辑开关按表1.2的状态,将结果填入表中。表1.2输入输出1(K1)2(K2)4(K3)5(K4)ABY电压(V)LHHHHLLLHHHHLLLHHLLLLLHH3. 逻辑电路的逻辑关系测试表1.3输入输出ABYLLHHLHLH(1)用74LS00、按图1.3,1.4接线,将输入输出逻辑关系分别填入表1.3、表1.4中。图 1.3表1.4输入输出ABYZLLHHLHLH图 1.4(2)写出上面两个电路逻辑表达式,并画出等效逻辑图。4. 利用与非门控制输出(选做)图 1.5用一片74LS00按图1.5接线,S接任一电平开关,用示波器观察S对

5、输出脉冲的控制作用。5. 用与非门组成其它逻辑门电路,并验证其逻辑功能。(1)组成与门电路由与门的逻辑表达式Z=AB= 得知,可以用两个与非门组成与门,其中一个与非门用作反相器。 将与门及其逻辑功能验证的实验原理图画在表1.5中,按原理图联线,检查无误后接通电源。当输入端A、B为表1.5的情况时,分别测出输出端Y的电压或用LED发光管监视其逻辑状态,并将结果记录表中,测试完毕后断开电源。表1.5 用与非门组成与门电路实验数据逻辑功能测试实验原理图输入输出 YAB电压逻辑值表1.6 用与非门组成或门电路实验数据逻辑功能测试实验原理图输入输出YAB电压逻辑值(2)组成或门电路根据De. Morga

6、n定理,或门的逻辑函数表达式Z=A+B可以写成Z,因此,可以用三个与非门组成或门。将或门及其逻辑功能验证的实验原理图画在表1.6中,按原理图联线,检查无误后接通电源。当输入端A、B为表1.6的情况时,分别测出输出端Y的电压或用LED发光管监视其逻辑状态,并将结果记录表中,测试完毕后断开电源。(3)组成或非门电路 或非门的逻辑函数表达式Z= ,根据De. Morgan定理,可以写成Z=,因此,可以用四个与非门构成或非门。将或非门及其逻辑功能验证的实验原理图画在表1.7中,按原理图联线,检查无误后接通电源。当输入端A、B为表1.7的情况时,分别测出输出端Y的电压或用LED发光管监视其逻辑状态,并将

7、结果记录表中,测试完毕后断开电源。表1.7用与非门组成或非门电路实验数据逻辑功能测试实验原理图输入输出YAB电压逻辑值表1.8用与非门组成异或门电路实验数据逻辑功能测试实验原理图输入输出YAB电压逻辑值(4)组成异或门电路(选做)异或门的逻辑表达式Z=A +B = ,由表达式得知,我们可以用五个与非门组成异或门。但根据没有输入反变量的逻辑函数的化简方法,有B=(+)B=B,同理有A=A(+)=A,因此Z=A+B=,可由四个与非门组成。将异或门及其逻辑功能验证的实验原理图画在表1.8中,按原理图联线,检查无误后接通电源。当输入端A、B为表1.8的情况时,分别测出输出端Y的电压或用LED发光管监视

8、其逻辑状态,并将结果记录表中,测试完毕后断开电源。五、实验报告1. 按各步聚要求填表并画逻辑图。2. 回答问题。(1)怎样判断门电路逻辑功能是否正常?(2)与非门一个输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过?(3)异或门又称可控反相门,为什么?实验二 组合逻辑电路(半加器、全加器)一、实验目的 1. 掌握组合逻辑电路的功能测试。 2. 验证半加器和全加器的逻辑功能。3. 学会二进制数的运算规律。二、实验仪器及材料1、实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2 器件 74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54

9、四组输入与或非门 1片三、预习要求 1. 预习组合逻辑电路的分析方法。 2. 预习用与非门和异或门构成的半加器、全加器的工作原理。 3. 预习二进制数的运算。四、实验内容及步骤 1. 组合逻辑电路功能测试 (1)用2片74LS00组成图2.1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。图2.1 (2)先按图2.1写出Y2的逻辑表达式并化简。表2.1输入输出ABCY1Y2000111100011100101110010(3)图中A、B、C接逻辑开关,Y1,Y2接发光管电平显示。 (4)按表2.1要求,改变A、B、C输入的状态,填表写出Y1,Y2的输出状态。 (5)将运

10、算结果与实验结果进行比较。2.用异或门(74LS86)和与非门组成的半加器电路根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,即半加器可用一个异或门和二个与非门组成一个电路。如图2.2。图2.2(1)在数字电路实验箱上插入异或门和与非门芯片。输入端A、B接逻辑开关k,Y,Z接发光管电平显示。(2)按表2.2要求改变A、B状态,填表并写出y、z逻辑表达式。表2.2输入端A0101B0011输出端YZ 3.全加器组合电路的逻辑功能测试(1)写出图2.3电路的逻辑表达式。(2)根据逻辑表达式列真值表。(3)根据真值表画出逻辑函数S1 C1的卡诺图。图2.3S1 C1(4)填

11、写表2.3各点状态表2.3A1B1C1-1YZX1X2X3S1C1000010100110001011101111(5)按原理图选择与非门并接线进行测试,将测试结果记入表2.4,并与上表进行比较看逻辑功能是否一致。4.用异或门、与或非门、与非门组成的全加器电路的逻辑功能测试全加器电路可以用两个半加器和两个与门一个或门组成。在实验中,常用一片双异或门、一片与或非门和一片与非门来实现。(1)画出用异或门、与或非门和非门实现全加器的逻辑电路图,写出逻辑表达式。(2)找出异或门、与或非门和与非门器件按自己设计画出的电路图接线,注意:接线时与或非门中不用的与门输入端应该接地。(3)当输入端A1 B1 C

12、1-1为下列情况时,测量S1和C1的逻辑状态并填入表2.5。表2.4A1B1C1-1C1S1000010100110001011101111表2.5输入端A100001111B100110011C1-101010101输出端S1C1五、实验报告 1. 整理实验数据、图表并对实验结果进行分析讨论。2. 总结全加器卡诺图的分析方法。 3总结实验中出现的问题和解决的办法。实验三 组合电路(译码器和数据选择器)一、实验目的1. 熟悉集成数据选择器、译码器的逻辑功能及测试方法。2. 学会用集成数据选择器、译码器进行逻辑设计。二、实验仪器及材料1.实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2.

13、器件: 74LS139 2-4线译码器 1片 74LS153 双4选1数据选择器 1片 74LS00 二输入端四与非门 1片三、实验内容及步骤 1. 译码器功能测试将74LS139双2-4线译码器按图3.1分别输入逻辑电平,并填写表3、1输出状态。图3-1表3.1输入输出使能选择1G1B1AY0Y1Y2Y3HLLLLXLLHHXLHLH2. 译码器转换将双2-4线译码器转换为3-8线译码器。(1)画出转换电路图。(2)在实验箱上接线并验证设计是否正确。(3)设计并填表写该3-8线译码器功能表。3. 数据选择器的测试及应用(1)将双4选1数据选择器74LS158参照图3.2接线,测试其功能并填写

14、3、2功能表。(2)找到实验箱脉冲信号源中Sc,S1两个不同频率的信号,接到数据选择器任意2个输入端,将选择端置位,使输出端可分别观察到Sc ,S1信号。图3-2(3)分析上述实验结果并总结数据选择器作用并画出波形。接电平开关 接电平显示表3.2选择端输入端输出控制输出A1 A0D0 D1 D2 D3QX XX X X XHL LL X X XLL LH X X XLL HX L X XLL HX H X XLH LX X L XLH LX X H XLH HX X X LLH HX X X HL四、实验报告 1. 画出实验要求的波形图。2. 画出实验内容2、3的接线图。3. 总结译码器和数据

15、选择的使用体会。实验四 触发器一、实验目的 1. 熟悉并掌握R-S、D、JK触发器的特性和功能测试方法。2. 学会正确使用触发器集成芯片。3. 了解不同逻辑功能FF相互转换的方法。二、实验仪器及材料1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS76 双J-K触发器 1片三、实验内容及步骤1. 基本RS触发器功能测试: 两个TTL与非门首尾相接构成的基本RS触发器的电路。如图5.1所示。(1)试按下面的顺序在S R 端加信号: =0 =1 =1 =1 =1 =0 =1 =1图4.1 基本RS触发

16、器电路观察并记录触发器的Q、端的状态,将结果填入下表4.1中,并说明在上述各种输入状态下,RS执行的是什么逻辑功能?表4.1Q逻辑功能01111101(2)端接低电平, 端加点动脉冲。(3) 端接高电平,端加点动脉冲。(4)令,端加脉冲。记录并观察(2)、(3)、(4)三种情况下,Q、端的状态。从中你能否总结出基本RS的Q或 端的状态改变和输入端、 的关系。(5)当、 都接低电平时,观察Q、 端的状态,当、同时由低电平跳为高电平时,注意观察Q、端的状态,重复35次看Q、端的状态是否相同,以正确理解“不定” 状态的含义。2. 维持-阻塞型D触发器功能测试双D型正边沿维持阻塞型触发器74LS74的

17、逻辑符号如图4.2所示。图中、 端为异步置1端,置0端(或称异步置位,复位端),CP为时钟脉冲端。试按下面步骤做实验:(1)分别在、 端加低电平,观察并记录Q、端的状态。图4.2D逻辑符号(2)令、 端为高电平,D端分别接高,低电平,用点动脉冲作为CP,观察并记录当CP为0、 、1、 时Q端状态的变化。(3)当1、CP0(或CP1),改变D端信号,观察Q端的状态是否变化?整理上述实验数据,将结果填入下表4.2中。(4)令1,将D和端相连,CP加连续脉冲,用双踪示波器观察并记录Q相对于CP的波形。表4.2 CPDQnQn+10 1XX011 0XX011 10011 11011 10(1)X01

18、CP Q3. 负边沿J-K触发器功能测试双JK负边沿触发器74LS76芯片的逻辑符号如图4.3所示。图4.3 J-K逻辑符号自拟实验步骤,测试其功能,并将结果填入表4.3中,若令JK1时,CP端加连续脉冲,用双踪示波器观察QCP波形,试将D触发器的D和端相连时观察Q端和CP的波形并与相比较,有何异同点? 4. 触发器功能转换 (1)将D触发器和J-K触发器转换成T触发器,列出表达式,画出实验电路图。(2)接入连续脉冲,观察各触发器CP及Q端波形,比较两者关系。JK TCP QD TCP Q(3)自拟实验数据表并填写之。表4.3 CP J K QQn+10 1X X X X1 0X X X X1

19、 1 0 X 01 1 1 X 01 1 X 0 11 1 X 1 1四、实验报告 1. 整理实验数据并填表。 2. 写出实验内容3、4的实验步骤及表达式。 3. 画出实验4的电路图及相应表格。 4. 总结各类触发器特点。实验五 时序电路(计数器、移位寄存器)一、实验目的1. 掌握常用时序电路分析,设计及测试方法。2. 训练独立进行实验的技能。二、实验仪器及材料1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件 74LS112 双J-K触发器 2片 74LS175 四D触发器 1片 74LS10 三输入端三与非门 1片 74LS00 二输入端四与非门 1片三、实验内容及步骤

20、1. 异步二进制计数器 (1)按图5.1接线。将J=K=1图5.1 (2)由CP端输入单脉冲,测试并记录Q1Q4,端状态及波形。 (3)试将异步二进制加法计数改为减法计数,参考加法计数器,要求实验并记录。 2. 异步二一十进制加法计数器 (1)按图5.2接线。 QA、QB、QC、QD4个输出端分别接发光二极管显示,复位端R接入单脉冲,置位端S接高电平“1”,CP端接连续脉冲。 (2)在CP端接连续脉冲,观察CP、QA、QB、QC、QD 的波形。 (3)将上图改成一个异步二一十进制减法计数器,并画出CP、QA、QB、QC、QD 的波形。图5.2CP Q1Q2Q3Q43. 自循环移位寄存器环形计数

21、器。(1)按图5.3接线,将A、B、C、D置为1000.用单脉冲计数,记录各触发器状态。图5.3改为连续脉冲计数,并将其中一个状态为“0”的触发器置为“1”(模拟干扰信号作用的结果),观察记数器能否正常工作,分析原因。(2)按图5.4接线,与非门用74LS10三输入端三与非门重复上述实验,对比实验结果,总结关于自启动的体会。图5.4四、实验报告1. 画出实验内容要求的波形及记录表格。2.总结时序电路特点。 实验六 组合逻辑电路的设计和逻辑功能验证 一、实验目的1. 掌握组合逻辑电路的设计方法。2. 学会使用集成电路的逻辑功能表。 二、实验仪器及材料1. 数字电路实验箱、双踪示波器、数字万用表。

22、2. 元器件:双输入与门CD4081 1片四异或门CD4070 2片四位数值比较器CD4063 1片三、注意事项及说明1. CMOS门电路的电源电压为+3V+15V,有些可达18V,实验前应先验证或调整正确,才可给门电路通电,本实验可选+5V供电。2. 门电路的输出端不可直接并联,也不可直接联连电源+5V和电源地,否则将造成门电路永久性损坏。3. CMOS集成电路的多余输入端不可悬空。4. 实验时应认真检查,仅当各条联线全部正确无误时,方可通电。四、实验内容、原理及步骤(1)设计一个一位比较器(大、同、小)的组合电路并验证其逻辑功能。(2)验证四位数值比较器的逻辑功能。(3)设计一个八位二进制

23、奇偶检测器的组合电路并验证其逻辑功能。(4)设计一个两位二进制数比较器(大、同、小)的组合电路(选做)。 CD4081为四双输入与门;CD4070为四异或门,CD4063为四位数值比较器,它们均为CMOS集成电路。图6-1为上述三种集成电路的引脚功能描述。图 6.11. 一位(大、同、小)比较器的设计及其逻辑功能的验证 根据命题要求列真值表设A、B为两个二进制数的某一位,即比较器的输入,M、 G、L为比较器的输出,分别表示两个二进制数比较后的大、同、小结果,其逻辑功能真值表见表6-1. 写表达式根据表6-1的真值表,并为了减少门电路的种类,我们做如下的运算:同 大 小 画逻辑图 根据上述表达式

24、,读者可用两个异或门和两个与门实现上述的大、同、小比较器,并将逻辑图画在表6-1右边的空白处。 实验验证 选CD4081、CD4070各一片,按所画逻辑原理图联线,检查无误后接通电源。当输入端A、B为表6-1的情况时,用三只LED发光管,分别监视输出端M、G、L的逻辑状态,验证逻辑功能的正确性。当输出高电平时,LED发光管亮,表示逻辑值为“1”,当输出低电平时,LED发光管灭,表示逻辑值为“0”,实验完毕后断开电源。表6-1 一位比较器真值表输入输出说 明ABMGL00010A = B01001A B11010A = B2. 四位数值比较器逻辑功能的验证 引脚和功能描述 CD4063为CMOS

25、四位二进制数值比较器集成电路,十六引脚双列直插式封装,所有功能引脚分三类:比较输入端、级联输入端和输出端。比较输入端实现本级两组四位二进制数的比较;级联输入端则是为实现多级芯片的相互级联所设,当仅使用一级比较时,可将AB三个级联输入端,分别接“0”、“1”、“0”;输出端则为两组四位二进制数的比较输出,有小、相等和大三种结果。其引脚描述见图6-1,逻辑功能见表6-2. 按上述的引脚和功能描述,联接好验证四位数值比较器逻辑功能的实验电路,检查无误后接通电源。当输入为表6-3的情况时,用三只LED发光管,分别监视其输出端L、G、M的逻辑状态,验证逻辑功能的正确性国。并将结果记录表6-3中,实验完毕

26、后断开电源。表6-2 四位数值比较器简化逻辑功能表输 入输出比较输入端级联输入端A3 B3 A2 B2 A1 B1 A0 B0ABABA3B3 X X XA3=B3 A2B2 X XA3=B3 A2=B2 A1B1 XA3=B3 A2=B2 A1=B1 A0B0X X XX X XX X XX X XL L HL L HL L HL L HA3=B3 A2=B2 A1=B1 A0=B0A3=B3 A2=B2 A1=B1 A0=B0A3=B3 A2=B2 A1=B1 A0=B0L L HL H LH L LL L HL H LH L LA3=B3 A2=B2 A1=B1 A0B0A3=B3 A2

27、=B2 A1=B1 XA3=B3 A2=B2 X XA3=B3 X X XX X XX X XX X XX X XH L LH L LH L LH L L表6-3 四位数值比较器逻辑功能验证实验数据表输 入输 出比较输入端级联输入端L G MA3 A2 A1 A0 B3 B2 B1 B0ABAB0 0 0 0 1 0 1 00 0 0 1 1 0 1 00 0 1 0 1 0 1 00 0 1 1 1 0 1 00 1 0 0 1 0 1 00 1 0 1 1 0 1 00 1 1 0 1 0 1 00 1 1 1 1 0 1 01 0 0 0 1 0 1 01 1 1 1 1 0 1 00

28、1 00 1 00 1 00 1 00 1 00 1 00 1 00 1 00 1 00 1 03. 八位二进制奇偶检测器的设计及其逻辑功能验证。 原理描述 在数字通讯系统中,由于系统噪声或外界干扰的存在,可能给信息代码的传送引入差错。为了发现并纠正错误,常采用奇偶校验码传送,在接收端再用奇偶检测器进行检测,以提高设备抗干扰能力和系统的可靠性。设B0、B1、B2、B3、B4、B5、B6、B7为八位二进制数,即奇偶检测器的输入,O为奇偶检测器的奇输出,则检测器的输出函数为:O = B0 + B1 + B2 + B3 + B4 + B5 + B6 + B7由表达式知,我们可用异或门实现上述的奇偶检

29、测器,并将逻辑图画在表6-4右边的空白处。 实验验证选CD4070两片,按所画逻辑原理图联线,检查无误后接通电源。当输入端为表6-4中的情况时,用一只LED发光二极管,监视其输出端O逻辑状态,验证逻辑功能的正确性。并将结果记录表6-4中,实验完毕后断开电源。表6-4 奇偶检测器逻辑功能验证实验数据表输入输出B0 B1 B2 B3 B4 B5 B6 B7O0 0 0 0 0 0 0 10 0 0 0 0 0 1 10 0 0 0 0 1 1 10 0 0 0 1 1 1 10 0 0 1 1 1 1 10 0 1 1 1 1 1 10 1 1 1 1 1 1 11 1 1 1 1 1 1 14.

30、 设计一个两位二进制数比较器(大、同、小)的组合电路(选做)对两个两位无符号二进制数进行比较(大、同、小),根据比较结果,使相应的三个输出端中的一个输出为“1”。 根据命题要求列真值表 假设第一个二进制数为A B,第二个二进制数为C D,即比较器的四个输入,又设M、G、L为比较器的输出,分别表示两个二进制数比较后的大、同、小结果,其逻辑功能真值表见表6-5。写表达式根据表6-5的真值表,对G采用公式法化简,对M和L采用卡诺图法化简得:表6-5两位二进制数比较器逻辑功能真值表输入输出说明A BC DMGL0 00 00 00 00 10 10 10 11 01 01 01 01 11 11 11

31、 10 00 11 01 10 00 11 01 10 00 11 01 10 00 11 01 1000010001100111010000100001000010111001100010000AB=CDABCDABCDABCDAB=CDABCDABCDABCDAB=CDABCDABCDABCDAB=CD 画逻辑图 根据上述表达式,读者可自行画出比较器的逻辑图,并验证其逻辑功能。五、实验报告和要求根据实验结果,整理实验数据,写出实验报告,并思考下列问题:1. 怎样利用四位数值比较器芯片设计一简易电子密码锁?2. 怎样利用四位数值比较器及其辅助芯片设计一简易电梯升降自动判别电路?实验七 D/A

32、、A/D转换器一、实验目的1. 了解D/A和A/D转换器的基本结构和性能。2. 熟悉D/A和A/D转换器的典型应用。二、实验仪器及材料1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件DAC0832 1片ADC0809 1片741 1片三、实验原理在数字电子技术很多应用场合往往需要把模拟量转换成数字量,或把数字量转成模拟量,完成这一转换功能的转换器有多种型号,使用者借助于手册提供的器件性能指标及典型应用电路,可正确使用这些器件。本实验采用大规模集成电路DAC0832实现D/A(数/模)转换,ADC0809实现A/D(模/数)转换。1. D/A转换器DAC0832DAC0832

33、是采用CMOS工艺制成的电流输出型8位数/模转换器,引脚排列如图4-36所示,各引脚含义为:数字信号输入端,MSB,LSB。ILE:输入寄存器允许,高电平有效。CS:片选信号,低电平有效,与ILE信号合起来共同控制是否起作用。:写信号1,低电平有效,用来将数据总数的数据输入锁存于8位输入寄存器中,有效时,必须使和ILE同时有效。:传送控制信号,低电平有效,用来控制是否起作用。:写信号2,低电平有效,用来将锁存于8位输入寄存器中的数字传送到8位D/A寄存器锁存起来,此时WFER应有效。:D/A输出电流1,当输入数字量全为1时,电流值最大。:D/A输出电流2。:反馈电阻。DAC832为电流输出型芯

34、片,可外接运算放大器,将电流输出转换成电压输出,电阻是集成在内的运算放大器的反馈电阻,并将其一端引出片外,为在片外连接运算放大器提供方便。当的引出端(脚9)直接与运算放大器的输出端相连接,如图4-37所示,而不另外串联电阻时,则输出电压如式(4.1.12)所示。 (4.1.12):基准电压,通过它将外加高精度的电压源接至T型电压网络,电压范围为(-10+10)V,也可以直接向其他D/A转换器的电压输出端。:电源,电压范围(+5+15)V。AGND:模拟地。DGND:数字地。 A/D转换器ADC0809ADC0809是采用CMOS工艺制成的8位逐次渐近型模/数转换器,引脚排列,如图438所示。各

35、引脚含义为:8路模拟量输入端。:地址输入端。 ALE:地址锁存允许输入信号,应在此脚施加正脉冲,上升沿有效,此时锁存地址码,从而选通相应的模拟信号通道,以便进行A/D转换。START:启动信号输入端,应在此脚施加正脉冲,当上升沿到达时,内部逐次逼近寄存器START复位,在下降沿到达后,开始A/D转换过程。EOC:转换结束输出信号(转换结束标志),高电平有效,转换在进行中EOC为低电平,转换结束EOC自动变为高电平,标志A/D转换已结束。OVTEN(OE):输入允许信号,高电平有效,即OE=1时,将输出寄存器中数据放到数据总线上。CP:时钟信号输入端,外接时钟脉冲,时钟频率一般为640。REF(

36、+)、REF(-):基准电压的正极和负极。一般(+)接+5V电源,(-)接地。:数字信号输出端MSB、LSBADC0809通过引脚输入8路单边模拟输入电压,ALE将3位地址线进行锁存,然后由译码电路选通8路中某一路进行A/D转换,地址译码与输入选通关系如表418所示。表4-18 ADC0809地址译码与输入选通关系被选模拟通道地 址000011110011001101010001四、实验内容及步骤1. 用DAC0832及运算放大器741组成D/A转换电路按图4-37连接实验电路,输入数字量由逻辑开关提供,输出模拟量用数字电压表测量。片选信号 (脚1)、写信号(脚2)、写信号(脚18)、传送控制

37、信号(脚17)接地;基准电压(脚8)及输入寄存器允许ILE(脚19)接+5V电源;(脚12)接运算放大器741的反相输入端2及同相输入端3;(脚9)通过电阻(或不通过)接运算放大器输出端6。a. 调零。全置0,调节电位器使741输出为零。b. 按表4-19输出数字量,测量相应的输出模拟量,记入表中右方输出模拟电压处。表 4-19 用DAC0832及运算放大器组成D/A转换昌路功能测试表A/D转换D/A转换输入数字量输出模拟量输入模拟量输出数字量0 0 0 0 0 0 0 00 0 0 0 0 0 0 10 0 0 0 0 0 1 00 0 0 0 0 1 0 00 0 0 0 1 0 0 00

38、 0 0 1 0 0 0 00 0 1 0 0 0 0 00 1 0 0 0 0 0 01 0 0 0 0 0 0 01 1 1 1 1 1 1 12. A/D转换器 按图4-39连接电路,输入模拟量接0+5V直流可调电源(自己设计),输出数字量接01指示器。 将三位地址线(脚23、24、25)同时接地,因而选通模拟输入(脚23)通道进行A/D转换;时钟信号CLOCK(脚10)用f=1kHz连续脉冲源;启动信号SRART(脚6)和地址锁存信号ALE(脚22)相连于P点,接单次脉冲;参考电压(+)(脚12)接+5V电源,(-)(脚15)接地;输出允许信号OE(脚9)固定接高电平。 a.测试脚6(

39、ALE)、脚22(START)、脚7(OE)的功能测试脚6、脚7连接于P点,接单次脉冲源,调节输入模拟量为某值,按一下P端单脉冲源按钮,相应的输出数字量便由01指示器显示出来,来完成一次A/D转换。断开P点与单脉冲源间连线,将ALE、START与EDC端连接在一起如图4-39中虚线所示,则电路处于自动状态,观察A/D转换器的工作情况。b. 令电路片于自动转换状态调节输入模拟量,记入表4-19在左方输入模拟电压处。五、实验报告整理实验数据,分析实验结果。六、预习要求复习D/A、A/D转换器部分内容。实验八 555时基电路的应用一、实验目的1. 掌握555时基电路的结构和工作原理,学会对此芯片的正

40、确使用。2. 学会分析和测试用555时基电路构成的多谐振荡器,单稳态触发器,旋密特触发器等三种典型电路。二、实验仪器及材料1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件 NE556,(或NE555二片)双时基电路 1片 二极管1N4148 2只 电位器22K,1K 2只 电阻、电容 若干 扬声器 一支三、实验原理本实验所用的时基电路芯片为NE556,同一芯片上集成了二个各自独立的555时基电路,图中各管脚的功能简述如下:TH-高电平触发端:当TH端电平大于2/3V ,输出端OUT呈低电平,DIS端导通。-低电平触发端:当端电平小于1/3V时,OUT端呈现高电平,DIS端关

41、断。-复位端:0,OUT端输出低电平,DIS端导通。VC-控制电压端:VC接不同的电压值可以改变TH,的触发电平值。DIS-放电端:其导通或关断为RC回路提供了放电或充电的通路。OUT-输出端。VCC-接正电源端。GND-接地端。表8.1THOUTDISXXLL导通HL导通H原状态原状态HH关断556芯片的管脚功能如图8.1所示,内部结构简图如图8.2所示。(1)按图8.3接线,可调电压取自电位器分压器。(2)按表8.1逐项测试其功能并记录。四、实验内容及步骤1. 555时基电路构成的多谐振荡器电路图8.1图8.2 时基电路内部结构图图图8.4 555定时器构成的多谐振荡器图8.3 测试接线图

42、(1)按图8-4接线。(2)用示波器观察并测量OUT端波形的频率和理论估算值比较,算出频率的相对误差值。(3)若将电阻值改为,电容C不变,上述的数据有何变化?(4)根据上述电路的原理,充电回路的支路是,放电回路的支路是,将电路略作修改,增加一个电位器RW ,和两个引导二极管,构成图8.5所示的占空比可调的多谐振荡器。其点空比q为 调节RW的阻值,可改变q值。合理选择元件参数(电位器选用22K),使电路的占空比q0.2,且正脉冲宽度为0.2Ms。调试电路,测出所用元件的数值,估算电路的误差。3. 555构成的单稳态触发器图8.5 占空比可调多谐振荡器电路图8.6 单稳态触发器(1)按图8.6接线

43、,图中,输入频率约为10KHZ左右的方波时,用在器示波器观察OUT端相对于的波形,并测出输出脉冲的宽度TW(2)调节R 频率,分析并记录观察到的OUT端波形的变化。(3)若输出脉冲TW=20US,怎样调整电路参数,记录各有关参数值。3. 应用电路图8.8所用555的两个时基电路构成低频对高频调制的救护车警铃电路。(1)参考实验内容2确定图8.8中未定元件参数(2)按图接线,注意扬声器先不接(3)用示波器观察输出波形并记录(4)接上扬声器,调整参数声响效果满意。(5)本实验所使用的电器电压V0+5V图8.8 救护车警铃电路五、实验报告1、按实验内容的要求整理实验数据。2、按实验内容的要求计算出相

44、关电路的元器件参数。3、画出实验内容2、3中的相应波形。实验九 集成电路多种计数器综合应用一、实验目的 1. 熟悉集成计数器逻辑功能和各控制端作用。 2. 掌握计数器使用方法。二、实验仪器及材料1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件 74LS290 二一五十进制计数器 2片 共阴LED数字显示管 2只三、实验内容及步骤 1. 集成计数器74LS290功能测试。74LS290是二一五一十进制异步计数器,逻辑简图为图6.1所示。74LS290具有下述功能:图6.1 74LS290逻辑图直接置0(R0(1),R0(2)=1),直接置(S0(1),S0(2)=1)二进制计

45、数(CP1输入QA输出)五进制计数(CP1输入QA QB QC输出)十进制计数(两种接法如图6.2A、B所示)按芯片引脚图分别测试上述功能,并填入表6.1、表6.2、表6.3中。图6.2 十进制计数器2. 计数器级连分别用2片74LS290计数器级连成二一五混合进制、十进制计数器。(1)画出连线电路图。(2)按图接线,并将输出端接到LED数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。(3)画出四位十进制计数器连接图并总结多级计数级连规律。表6.1 功能表R0(1) R0(2) S0(1) S0(2)输出QD QG QB QA H H L XH H X LX X H HX L X

46、 LL X X LX L L X表6.2 二一五混合时制计数输出QAQDQGQB0123456789表6.3 十进制计数输出QDQGQBQA01234567893. 任意进制计数器设计方法采用脉冲反馈法(称复位法或置位法),可用74LS290组成任意(M)计数器,图6.3是用74LS290实现模7计数器的两种方案,图(A)采用复位法,即计到M异步置0,图(B)采用置位法,即计数计到M-1异步置0。图6.3 74LS290实现七进制计数方法当实现十以上进制的计数器时可将多片级连使用。图6.4是45进制计数的一种方案,输出为8421 BCD码。图6.4 图6.5 LED七段显示引脚图(1)按图6.4接线,并将输出接到LED显示器上验证。(2)设计一个六十进制计数器并接线验证。(3)记录上述实

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!