电子技术课程设计基于Verylog的智能洗衣机控制器设计

上传人:仙*** 文档编号:33027380 上传时间:2021-10-16 格式:DOC 页数:44 大小:1,008.50KB
收藏 版权申诉 举报 下载
电子技术课程设计基于Verylog的智能洗衣机控制器设计_第1页
第1页 / 共44页
电子技术课程设计基于Verylog的智能洗衣机控制器设计_第2页
第2页 / 共44页
电子技术课程设计基于Verylog的智能洗衣机控制器设计_第3页
第3页 / 共44页
资源描述:

《电子技术课程设计基于Verylog的智能洗衣机控制器设计》由会员分享,可在线阅读,更多相关《电子技术课程设计基于Verylog的智能洗衣机控制器设计(44页珍藏版)》请在装配图网上搜索。

1、实验报告项目名称 智能洗衣机控制器的设计 课程名称 电子技术课程设计 课程设计日期 2011/2/24 班 级 学 号 姓 名 成 绩 目录一、已知条件3二、性能指标要求3三、输入输出设计3四、模块对应器件的设计4五、ASM图设计4六、完整程序代码7七、管脚分配30八、时序仿真31九、实验总结43一、 已知条件用Verilog设计一个智能洗衣机控制器。 二、 性能指标要求 设计一个智能洗衣机(全自动洗衣机)控制器,能够实现洗衣,漂洗和脱水的功能。 要求能够使用按键模拟对洗衣机的控制,能够设置工作模式,为了便于观察,将洗衣机的工作模式和所剩的工作时间用数码管显示出来,能够将洗衣机当前所处的状态用

2、发光管或者数码管显示出来。【模式1】 :洗特别脏的衣服( 洗衣30秒钟)【模式2】 :洗脏的衣服 (洗衣20秒钟)【模式3】 :洗一般的衣服(洗衣10秒钟)【模式4】 :漂洗(每次漂洗5秒钟)【模式5】 :脱水(每次脱水3秒钟)【洗衣全过程】:洗衣脱水漂洗脱水漂洗脱水,注水完成使用外部传感器S=1表示。【漂洗模式】:漂洗脱水漂洗脱水,注水完成使用外部传感器S=1表示。【脱水模式】:脱水。【注】:操作完毕使用蜂鸣器鸣叫两秒提示。 画出洗衣机控制器的状态机,写出状态编码方案。 用Verilog语言对设计进行描述,设计一个测试方案,通过Muxplus对设计进行仿真验证。并能够下载到实验板上调试成功。

3、 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。 并谈谈此次实验的收获、感想及建议三、 输入输出设计输入:1Hz时钟七个按键:复位启动模式选择注水完成洗衣全过程漂洗脱水输出:状态数码管,显示05模式选择数码管,显示05秒钟数码管,十位数码管、个位数码管,可显示030蜂鸣器四、 模块对应器件的设计 模式选择: 一个5进制加计数器,用数码管显示05。用一个按键做触发时钟模式1:30进制减计数器,用1Hz时钟模式2:20进制减计数器,用1Hz时钟模式3:10进制减计数器,用1Hz时钟模式4:5进制减计数器,用1Hz时钟模式5:3进制减计数器,用1Hz时钟三个组合选择:用三个按键

4、洗衣全过程:49进制减计数器,用1Hz时钟 (30+3+5+3+5+3=49)漂洗模式:39进制减计数器,用1Hz时钟(20+3+5+3+5+3=39)脱水模式:29进制减计数器,用1Hz时钟(10+3+5+3+5+3=29)注水完成:一个按键控制(S) 蜂鸣器:2进制减计数器。1Hz时钟启动:一个按键控制复位:一个按键控制五、 ASM图设计 a) 整机ASM图b) 控制器ASM图符号说明:CR:复位状态S1S5:模式1模式5 状态Mode:模式选择S:外部传感器,表示注水完成FullPro:全过程洗衣RinseMode:漂洗模式Beep:蜂鸣状态End:终止状态原理说明:用户可以选择单选模式

5、和组合模式:在单选模式下,用户选择5种模式中的一种,模式终止之后即进入蜂鸣报警(Beep)状态,不再进行其他模式。其中,模式14要保证注水完成(S=1)才能进行。在组合模式下:1、 用户如果选择的是模式1模式3(Mode=13),如果注水完成(S=1),则进行“洗衣(S1S3) 脱水(S5)漂洗(S4)脱水(S5)漂洗(S4)脱水(S5)”,洗衣过程的时间长短由用户选择的模式1模式3决定。2、 用户如果选择了模式4(Mode=4),如果注水完成(S=1),则进行“漂洗(S4)脱水(S5)漂洗(S4)脱水(S5)”3、 用户如果选择了模式5(Mode=4) ,则进行“脱水(S5)”组合模式结束后

6、,进入蜂鸣报警状态(Beep),系统终止。 六、 完整程序代码/=washer.v=/module washer(State,Mode,Second,Beep,CP,nCR,En,ModeCh,FullPro,Rinse,Dehy,_500hz,S);input CP,nCR,En,ModeCh,FullPro,Rinse,Dehy,_500hz,S;output7:0Second;output3:0State,Mode;output Beep;reg7:0Second;reg3:0State,Mode;reg Beep;reg ModeEnd;wire Mode_En; wire veryE

7、n,dirtyEn,normEn,rinseEn,dehyEn,fModeEn,rModeEn,dModeEn;wire Stop; supply1 Vdd;reg3:0State1,State2,State3,State4,State5,State6,State7,State8;reg7:0Second1,Second2,Second3,Second4,Second5,Second6,Second7,Second8;reg countEnd,countEnd1,countEnd2,countEnd3,countEnd4,countEnd5,countEnd6,countEnd7,countE

8、nd8; /*模式选择*/assign Mode_En=Vdd&(En);count5asc U1(Mode,ModeEnd,nCR,Mode_En,ModeCh);/*单选模式*/assign veryEn=Vdd&En&(Stop)&(FullPro)&(Rinse)&(Dehy)&(Mode=4b0001)&S;assign dirtyEn=Vdd&En&(Stop)&(FullPro)&(Rinse)&(Dehy)&(Mode=4b0010)&S;assign normEn=Vdd&En&(Stop)&(FullPro)&(Rinse)&(Dehy)&(Mode=4b0011)&S;a

9、ssign rinseEn=Vdd&En&(Stop)&(FullPro)&(Rinse)&(Dehy)&(Mode=4b0100)&S;assign dehyEn=Vdd&En&(Stop)&(FullPro)&(Rinse)&(Dehy)&(Mode=4b0101);verydirty U2(State1,Second1,countEnd1,CP,nCR,veryEn);/模式1dirty U3(State2,Second2,countEnd2,CP,nCR,dirtyEn); /模式2normal U4(State3,Second3,countEnd3,CP,nCR,normEn); /

10、模式3rinse U5(State4,Second4,countEnd4,CP,nCR,rinseEn); /模式4dehydration U6(State5,Second5,countEnd5,CP,nCR,dehyEn); /模式5/*组合模式*/assign fModeEn=Vdd&En&(Stop)&(FullPro)&(Rinse)&(Dehy)&(Mode=4b0001&Mode=4b0101);full U7(State6,Second6,countEnd6,CP,nCR,fModeEn,Mode,S);/全过程RinseMode U8(State7,Second7,countE

11、nd7,CP,nCR,rModeEn,S);/漂洗模式dehydration U9(State8,Second8,countEnd8,CP,nCR,dModeEn);/脱水模式/*选择切换模块*/always(veryEn or dirtyEn or normEn or rinseEn or dehyEn or fModeEn or rModeEn or dModeEn or nCR or countEnd)beginif(veryEn)begin Second=Second1;State=State1;countEnd=countEnd1; endif(dirtyEn)begin Secon

12、d=Second2; State=State2; countEnd=countEnd2; endif(normEn)begin Second=Second3; State=State3; countEnd=countEnd3; endif(rinseEn)begin Second=Second4; State=State4; countEnd=countEnd4; endif(dehyEn)begin Second=Second5; State=State5; countEnd=countEnd5; endif(fModeEn)begin Second=Second6; State=State

13、6; countEnd=countEnd6; endif(rModeEn)begin Second=Second7; State=State7; countEnd=countEnd7; endif(dModeEn)begin Second=Second8; State=State8; countEnd=countEnd8; endif(nCR)begin Second=8h00; State=4b0000; countEnd=1b0; endif(countEnd=1b1)begin Second=8h00; State=4b0000; endend/*蜂鸣器模块*/BeeperU10(Bee

14、p,CP,nCR,countEnd,_500hz);/*终止模块*/assign Stop=countEnd;endmodule /= verydirty.v=/module verydirty(State,Second,countEnd,CP,nCR,En);input CP,nCR,En;output3:0State;output7:0Second;output countEnd;reg3:0State;reg7:0Second;reg countEnd;count30 U1(Second7:4,Second3:0,countEnd,nCR,En,CP);always(posedge CP

15、)if(En)beginState=4b0001;endendmodule/= dirty.v=/module dirty(State,Second,countEnd,CP,nCR,En);input CP,nCR,En;output3:0State;output7:0Second;output countEnd;reg3:0State;reg7:0Second;reg countEnd;count20 U1(Second7:4,Second3:0,countEnd,nCR,En,CP);always(posedge CP)if(En)beginState=4b0010;endendmodul

16、e/= normal.v=/module normal(State,Second,countEnd,CP,nCR,En);input CP,nCR,En;output3:0State;output7:0Second;output countEnd;reg3:0State;reg7:0Second;reg countEnd;count10 U1(Second3:0,countEnd,nCR,En,CP);always(posedge CP)if(En)beginState=4b0011;Second7:4=4b0000;endendmodule/= rinse.v=/module rinse(S

17、tate,Second,countEnd,CP,nCR,En);input CP,nCR,En;output3:0State;output7:0Second;output countEnd;reg3:0State;reg7:0Second;reg countEnd;count5 U1(Second3:0,countEnd,nCR,En,CP);always(En)if(En)beginState=4b0100;Second7:4=4b0000;endendmodule/= dehydration.v=/module dehydration(State,Second,countEnd,CP,nC

18、R,En);input CP,nCR,En;output3:0State;output7:0Second;output countEnd;reg3:0State;reg7:0Second;reg countEnd;count3 U1(Second3:0,countEnd,nCR,En,CP);always(En)beginSecond7:4=4b0000;if(En)|(countEnd=1b1)beginState8b00000000)beginState=4b0101;endendendmodule/= full.v=/module full(State,Second,countEnd,C

19、P,nCR,En,Mode,S);input CP,nCR,En,S;input3:0Mode;output3:0State;output7:0Second;output countEnd;reg3:0State;reg7:0Second,Second1,Second2,Second3;reg countEnd,countEnd1,countEnd2,countEnd3;reg flag;wire comEn1;wire comEn2;wire comEn3;wire Vdirty_En,dirty_En,norm_En;supply1 Vdd;assign Vdirty_En=Vdd&(Mo

20、de=4b0001);assign dirty_En=Vdd&(Mode=4b0010);assign norm_En=Vdd&(Mode=4b0011);count49 U1(Second17:4,Second13:0,countEnd1,nCR,comEn1,CP);count39 U2(Second27:4,Second23:0,countEnd2,nCR,comEn2,CP);count29 U3(Second37:4,Second33:0,countEnd3,nCR,comEn3,CP);always(Vdirty_En or dirty_En or norm_En)beginif(

21、Vdirty_En)begin Second=Second1;countEnd=countEnd1; endif(dirty_En)begin Second=Second2;countEnd=countEnd2; endif(norm_En)begin Second=Second3;countEnd=countEnd3; endendalways(Second)beginif(countEnd=1b1)beginState8b00011000&comEn1)State8b00011000&comEn2)State8b00011000&comEn3)State=4b0011; else if(S

22、econd8b00010101)|(Second8b00000111)|(Second=8b00000000)State=4b0101;else State=4b0100;endalways(posedge CP or posedge S or negedge nCR)beginif(nCR)flag=1b0;else if(S)flag=1b1;else if(Second=8b00010110)|(Second=8b00001000)flag=1b0;elseflag=flag;endassign comEn1=Vdirty_En&flag&En;assign comEn2=dirty_E

23、n&flag&En;assign comEn3=norm_En&flag&En;endmodule/= RinseMode.v=/module RinseMode(State,Second,countEnd,CP,nCR,En,S);input CP,nCR,En,S;output3:0State;output7:0Second;output countEnd;reg3:0State;reg7:0Second;reg countEnd;reg flag;wire comEn;count16 U5(Second7:4,Second3:0,countEnd,nCR,comEn,CP);always

24、(Second)beginif(countEnd=1b1)beginState=4b0000;endelse if(Second=8b00010001)|(Second=8b00000011)beginState=4b0100;endelse beginState=4b0101;endendalways(posedge CP or posedge S or negedge nCR)beginif(nCR)flag=1b0;else if(S)flag=1b1;else if(Second=8b00010110)|(Second=8b00001000)flag=1b0;endassign com

25、En=En&flag;endmodule/= Beeper.v=/module Beeper(alarm,CP,nCR,BeepEn,_500hz);input _500hz,CP,nCR,BeepEn;output alarm;reg EndS;reg 3:0Q;supply1 Vdd;count2 U1(Q,EndS,nCR,BeepEn,CP); assign alarm=BeepEn&_500hz&(EndS);endmodule/= count49.v=/module count49(CntH,CntL,EndS,nCR,EN,CP);input CP,nCR,EN;output3:

26、0CntH,CntL;output EndS;reg EndS;reg 3:0CntH,CntL;always(posedge CP or negedge nCR)begin if(nCR) CntH,CntL=8h48;else if(EN) CntH,CntL=CntH,CntL;else if(CntH0)|(CntL0)|(CntH=0)&(CntL=0) )begin EndS0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL=CntL-1b1; en

27、delse if (CntL=0)begin CntH=CntH-1b1; CntL=4b1001; endelse begin CntH=CntH; CntL=CntL-1b1; end endendmodule/= count39.v=/module count39(CntH,CntL,EndS,nCR,EN,CP);input CP,nCR,EN;output3:0CntH,CntL;output EndS;reg EndS;reg 3:0CntH,CntL;always(posedge CP or negedge nCR)begin if(nCR) CntH,CntL=8h38;els

28、e if(EN) CntH,CntL=CntH,CntL;else if(CntH0)|(CntL0)|(CntH=0)&(CntL=0) )begin EndS0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL=CntL-1b1; endelse if (CntL=0)begin CntH=CntH-1b1; CntL=4b1001; endelse begin CntH=CntH; CntL=CntL-1b1; end endendmodule/= count30.v=/module count30(C

29、ntH,CntL,EndS,nCR,EN,CP);input CP,nCR,EN;output3:0CntH,CntL;output EndS;reg EndS;reg 3:0CntH,CntL;always(posedge CP or negedge nCR)begin if(nCR) CntH,CntL=8h29;else if(EN) CntH,CntL=CntH,CntL;else if(CntH0)|(CntL0)|(CntH=0)&(CntL=0) )begin EndS0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL0)begin Cn

30、tH=CntH; CntL0)begin CntH=CntH; CntL=CntL-1b1; endelse if (CntL=0)begin CntH=CntH-1b1; CntL=4b1001; endelse begin CntH=CntH; CntL=CntL-1b1; end endendmodule/= count29.v=/module count29(CntH,CntL,EndS,nCR,EN,CP);input CP,nCR,EN;output3:0CntH,CntL;output EndS;reg EndS;reg 3:0CntH,CntL;always(posedge C

31、P or negedge nCR)begin if(nCR) CntH,CntL=8h28;else if(EN) CntH,CntL=CntH,CntL;else if(CntH0)|(CntL0)|(CntH=0)&(CntL=0) )begin EndS0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL=CntL-1b1; endelse if (CntL=0)begin CntH=CntH-1b1; CntL=4b1001; endelse begin CntH=CntH; CntL=CntL-1b1; end endendmodule/= c

32、ount20.v=/module count20(CntH,CntL,EndS,nCR,EN,CP);input CP,nCR,EN;output3:0CntH,CntL;output EndS;reg EndS;reg 3:0CntH,CntL;always(posedge CP or negedge nCR)begin if(nCR) CntH,CntL=8h19;else if(EN) CntH,CntL=CntH,CntL;else if(CntH0)|(CntL0)|(CntH=0)&(CntL=0) )begin EndS0)begin CntH=CntH; CntL0)begin

33、 CntH=CntH; CntL0)begin CntH=CntH; CntL0)begin CntH=CntH; CntL=CntL-1b1; endelse if (CntL=0)begin CntH=CntH-1b1; CntL=4b1001; endelse begin CntH=CntH; CntL=CntL-1b1; end endendmodule/= count16.v=/module count16(CntH,CntL,EndS,nCR,EN,CP);input CP,nCR,EN;output3:0CntH,CntL;output EndS;reg EndS;reg 3:0

34、CntH,CntL;always(posedge CP or negedge nCR)begin if(nCR) CntH,CntL=8h15;else if(EN) CntH,CntL=CntH,CntL;else if(CntH0)|(CntL0)|(CntH=0)&(CntL=0) )begin EndS0)begin CntH=CntH; CntL=CntL-1b1; endelse if (CntL=0)begin CntH=CntH-1b1; CntL=4b1001; endelse begin CntH=CntH; CntL=CntL-1b1; end endendmodule/

35、= count10.v=/module count10(Q,EndS,nCR,EN,CP);input CP,nCR,EN;output 3:0Q;output EndS;reg 3:0 Q;reg EndS;always(posedge CP or negedge nCR)beginif(nCR)beginEndS=1b0;Q=4b1010;endelse if(EN) Q=Q;else if(Q=4b0000) beginEndS=1b1;endelse Q=Q-1b1;endendmodule/= count5asc.v=/module count5asc(Q,EndS,nCR,EN,C

36、P);input CP,nCR,EN;output 3:0Q;output EndS;reg 3:0 Q;reg EndS;always(posedge CP or negedge nCR)beginif(nCR)beginEndS=1b0;Q=4b0001;endelse if(EN) Q=Q;else if(Q=4b0101) beginQ=4b0001;EndS=1b1;endelse Q=Q+1b1;endendmodule/= count5.v=/module count5(Q,EndS,nCR,EN,CP);input CP,nCR,EN;output 3:0Q;output En

37、dS;reg 3:0 Q;reg EndS;always(posedge CP or negedge nCR)beginif(nCR)beginEndS=1b0;Q=4b0101;endelse if(EN) Q=Q;else if(Q=4b0000) beginEndS=1b1;endelse Q=Q-1b1;endendmodule/= count3.v=/module count3(Q,EndS,nCR,EN,CP);input CP,nCR,EN;output 3:0Q;output EndS;reg 3:0 Q;reg EndS;always(posedge CP or negedg

38、e nCR)beginif(nCR)beginEndS=1b0;Q=4b0010;endelse if(EN) Q=Q;else if(Q=4b0000) beginEndS=1b1;endelse Q=Q-1b1;endendmodule/= count2.v=/module count2(Q,EndS,nCR,EN,CP);input CP,nCR,EN;output 3:0Q;output EndS;reg 3:0 Q;reg EndS;always(posedge CP or negedge nCR)beginif(nCR)beginEndS=1b0;Q=4b0001;endelse

39、if(EN) Q=Q;else if(Q=4b0000) beginEndS=1b1;endelse Q=Q-1b1;endendmodule七、 管脚分配所有用到的按键保持为乒乓电平模式变量管脚名称引脚功能CPCLK0时钟03跳线实现1Hz频率输入_500hzCLK2时钟26跳线实现500Hz频率输入nCRK1按键18为0时使时数码管1,2,3清零,数码管置1为1时开始工作EnK2按键29为1时使能计数,为0是暂停计数ModeChK3按键310模式选择,每一次由0变1时数码管4加1SK4按键411外部传感器,1表示注水完成,0表示未注水完成FullProK5按键516全过程洗衣模式使能Rin

40、seK6按键617漂洗模式使能DehyK7按键718脱水模式使能ModeSM4_B03数码管448.47.39.38.模式选择显示StateSM3_B03数码管337.36.35.30模式状态显示SecondSM2_B03SM1_B03数码管2,129.28.27.25.24.23.22.21工作剩余时间显示BeepSPK扬声器83洗衣完成蜂鸣报警八、 时序仿真a) 单选模式模式1 单选模式 A图模式1 单选模式B图说明:模式选择为1(Mode=1),注水完成后(S=1),按启动(En=1),倒计时30秒(Second),倒计时结束蜂鸣器(Beep)报警2秒。模式状态显示(State)显示为1

41、。模式2 单选模式说明:模式选择为2(Mode=2),注水完成后(S=1),按启动(En=1),倒计时20秒(Second),倒计时结束蜂鸣器(Beep)报警2秒。模式状态显示(State)显示为2。模式3 单选模式说明:模式选择为3(Mode=3),注水完成后(S=1),按启动(En=1),倒计时10秒(Second),倒计时结束蜂鸣器(Beep)报警2秒。模式状态显示(State)显示为3。模式4 单选模式说明:模式选择为4(Mode=4),注水完成后(S=1),按启动(En=1),倒计时5秒(Second),倒计时结束蜂鸣器(Beep)报警2秒。模式状态显示(State)显示为4。模式5

42、 单选模式说明:模式选择为5(Mode=5),按启动(En=1),倒计时30秒(Second),倒计时结束蜂鸣器(Beep)报警2秒。模式状态显示(State)显示为5。b) 组合模式模式1 组合模式 A图模式1 组合模式 B图说明:模式选择为1(Mode=1),注水完成后(S=1),按启动(En=1),倒计时30秒(Second),模式状态显示(State)显示为1。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。然后进入模式4倒计时5秒,模式状态显示(State)显示为4。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。然后进入模式4倒计时5秒,模式状态显示(S

43、tate)显示为4。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。倒计时结束蜂鸣器(Beep)报警2秒。共倒计时49秒注:每次漂洗模式(即模式4 State=4)时都要确保注水完成(即S有一个正脉冲),否则系统进入等待状态,直到注水完成。模式2 组合模式 A图模式2 组合模式 B图说明:模式选择为2(Mode=2),注水完成后(S=1),按启动(En=1),倒计时20秒(Second),模式状态显示(State)显示为2。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。然后进入模式4倒计时5秒,模式状态显示(State)显示为4。然后进入模式5倒计时3秒,模式状态

44、显示(State)显示为5。然后进入模式4倒计时5秒,模式状态显示(State)显示为4。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。倒计时结束蜂鸣器(Beep)报警2秒。共倒计时39秒注:每次漂洗模式(即模式4 State=4)时都要确保注水完成(即S有一个正脉冲),否则系统进入等待状态,直到注水完成。模式3 组合模式 A 图模式3 组合模式 B 图说明:模式选择为3(Mode=3),注水完成后(S=1),按启动(En=1),倒计时10秒(Second),模式状态显示(State)显示为3。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。然后进入模式4倒计时5

45、秒,模式状态显示(State)显示为4。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。然后进入模式4倒计时5秒,模式状态显示(State)显示为4。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。倒计时结束蜂鸣器(Beep)报警2秒。共倒计时29秒注:每次漂洗模式(即模式4 State=4)时都要确保注水完成(即S有一个正脉冲),否则系统进入等待状态,直到注水完成。模式4 组合模式 说明:模式选择为4(Mode=1),注水完成后(S=1),按启动(En=1),倒计时5秒(Second),模式状态显示(State)显示为4。然后进入模式5倒计时3秒,模式状态显示(S

46、tate)显示为5。然后进入模式4倒计时5秒,模式状态显示(State)显示为4。然后进入模式5倒计时3秒,模式状态显示(State)显示为5。倒计时结束蜂鸣器(Beep)报警2秒。共倒计时16秒注:每次漂洗模式(即模式4 State=4)时都要确保注水完成(即S有一个正脉冲),否则系统进入等待状态,直到注水完成。模式5 组合模式说明:模式选择为5(Mode=5),按启动(En=1),倒计时30秒(Second),倒计时结束蜂鸣器(Beep)报警2秒。模式状态显示(State)显示为5。c) 各层次时序仿真Verydirty :模式1,倒计时30秒,倒计时结束countEnd 为高Dirty:

47、模式2,倒计时20秒,倒计时结束countEnd 为高Normal:模式3,倒计时10秒,倒计时结束countEnd 为高Rinse:模式4,倒计时5秒,倒计时结束countEnd 为高Dehy:模式5,倒计时3秒,倒计时结束countEnd 为高Full 1 AFull 1 B说明:全过程洗衣,以模式1洗衣Full 2 AFull 2 B 说明:全过程洗衣,以模式2洗衣Full 3说明:全过程洗衣,以模式3洗衣rinseMode说明:漂洗模式beeper说明:蜂鸣器,蜂鸣2秒d) 计数器Count49 ACount49 B说明:49进制减计数Count39 ACount39 B说明:39进制减计数Count30说明:30进制减计数Count29 说明:29进制减计数Count20说明:20进制减计数Count16说明:16进制减计数Count10说明:10进制减计数Count5说明:5进制减计数Count5asc说明:5进制加计数Count3说明:3进制减计数Count2说明:2进制减计数九、 实验总结本次课程设计总体感觉相较于上学期的数字种来说是相对简单的,说简单是功能模块相对少,而且事务逻辑比较简单明了从设计过程来说,我是自下而上的设计,先设计底层模块,主要是

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!