模拟信号数字光纤传输系统的设计

上传人:仙*** 文档编号:32463642 上传时间:2021-10-14 格式:DOC 页数:46 大小:618.51KB
收藏 版权申诉 举报 下载
模拟信号数字光纤传输系统的设计_第1页
第1页 / 共46页
模拟信号数字光纤传输系统的设计_第2页
第2页 / 共46页
模拟信号数字光纤传输系统的设计_第3页
第3页 / 共46页
资源描述:

《模拟信号数字光纤传输系统的设计》由会员分享,可在线阅读,更多相关《模拟信号数字光纤传输系统的设计(46页珍藏版)》请在装配图网上搜索。

1、毕 业 设 计(论 文)题目:模拟信号数字光纤传输系统的设计姓 名 张 耀 生 学 号 200522080225 学院(系) 华科学院信息系 专 业 通信工程 班 级 052202H 指导教师 王 华 夏 2009年 6 月 13 日太原科技大学毕业设计(论文)太原科技大学毕业设计(论文)任务书学院(直属系):华科学院信息系 时间:2009年4月6日学 生 姓 名张耀生指 导 教 师王华夏设计(论文)题目模拟信号数字光纤传输系统的设计主要研究内容查阅资料,完成文献综述,撰写开题报告;掌握A/D、D/A转换器以及CPLD的功能和作用,学习MAXPLUS软件的具体应用,应用VHDL语言;设计基于C

2、PLD的数字光纤传输系统的收发模块,并进行软件仿真。研究方法在采样速率为1Msps下设计基于CPLD的数字光纤传输系统的发送和接收部分;采用MAXPLUS软件对所设计电路的程序进行仿真。主要技术指标(或研究目标)熟悉数字光纤传输系统的各个组成部分,掌握A/D、D/A转换器以及CPLD的功能和作用。教研室意见教研室主任(专业负责人)签字: 年 月 日 说明:一式两份,一份装订入学生毕业设计(论文)内,一份交学院(直属系)。目 录摘要IIIAbstractIV第1章 绪论- 1 -1.1 本课题基本概念- 1 -1.2 本课题研究的目的和意义- 1 -1.3 本课题国内外研究现状- 2 -1.4

3、课题研究的主要任务、要求及总体结构- 3 -1.4.1 课题研究的主要任务- 3 -1.4.2 课题研究的要求- 3 -1.4.3 课题研究的总体结构- 3 -第2章 系统设计理论基础- 5 -2.1 光纤线路编码- 5 -2.1.1 光纤数字通信系统对线路编码的要求- 5 -2.1.2 8Bl0B线路码型- 5 -2.2 多路复用技术- 6 -2.3 PECL接口电路- 7 -2.3.1 PECL电路的特点- 7 -2.3.2 PECL级传输线路设计- 7 -2.4 光发射机与光接射机- 8 -2.4.1 光发射机- 8 -2.4.2 光接射机- 9 -2.5 同步技术- 11 -2.5.1

4、 位同步- 12 -2.5.2 帧同步- 12 -第3章 系统模块的连接- 14 -3.1 A/D转换模块与D/A转换模块- 14 -3.1.1 AD574A特点- 14 -3.1.2 AD574A管脚- 14 -3.1.3 A/D转换模块电路- 15 -3.2 D/A转换模块- 15 -3.2.1 D/A转换器原理及接法- 15 -3.2.2 D/A转换模块电路- 16 -3.3 CPLD模块- 16 -3.3.1 CPLD的概述- 16 -3.3.2 MAX7000S功能特性及其内部结构- 17 -3.3.3 CPLD芯片的连接电路- 18 -3.4 编/译码模块- 19 -3.4.1编码

5、模块- 19 -3.4.2 译码模块- 19 -3.5 光/电、电/光转换模块- 20 -3.5.1 光收发模块结构及功能- 21 -3.5.2 光收发模块连接电路- 21 -第4章 系统的软件设计- 22 -4.1 EDA技术概述- 22 -4.2 开发软件介绍- 22 -4.2.1 MAX+PLUS II简史- 22 -4.2.2 MAX+PLUS II具有的特点- 22 -4.3 MAX+PLUSII设计流程- 23 -4.4 软件设计思想- 23 -4.5 程序部分- 25 -4.6 仿真结果- 27 -第5章 结论- 28 -参考文献- 29 -致谢- 30 -模拟信号数字光纤传输系

6、统的设计摘要光纤通信技术是一种广泛应用的信号传输技术。所以对于该技术的研究也在不断发展。本文是在借鉴前人研究的成果上,设计出了一套关于模拟信号的数字传输系统。系统主要采用了CPLD(大规模可编程逻辑器件)和专用的编解码芯片运用时分复用技术实现多路数据传输,依靠同步标志实现系统的同步功能。集成芯片的应用使设计简单而功能强大。本文对光收发模块、编译码原理及实现和CPLD的开发都进行了详细的论述。另外,在完成本系统的软件编程过程,了解了VHDL语言的基本应用。在以往此类系统的设计中,很多电路多用硬件实现,这样提高了成本。而本文在数据传输部分则是采用软件来实现的,经济且容易控制。最后通过对系统的调试证

7、明了系统的有效性和可行性,从而达到了设计要求并期望能最终运用到实际中。关键词:光纤传输,时分复用The Design of Fiber System for analog signalAbstractThe fiber optic communications technology is a kind of widespread application signaling technology. Therefore, this research of technology is also gradually developing. This article which profits from

8、 the predecessors achievement designed a set of the simulated signal digital system. The system mainly uses CPLD (Complex Program Mable Logic Device), special-application encoder and decoder to realize TDM of multiplex digital signals transmission and relies on the symbol of synchronization to reali

9、ze the synchronization of the system. The article made detailed discussion for transmitter, receiver, theory of encoder/decoderand the exploitation of CPLD. In addition, it has designed isolated circuit interfacing the exterior data, which could improve the system in security. In the course of this

10、kind of systematic designs, a lot of electric circuit is realized by multipurpose hardware and enhance the cost. However, this article is completed with the software in the part of data transmission. Finally, it has proved validity and the feasibility to debugging of system, and has achieved the des

11、ign requirements and expected to apply to reality. Key words :Optical transmission,TDM- 38 -第1章 绪论1.1 本课题基本概念通信就是各种信息的转移或传递。通常的做法是首先将要传递的信息设法加载(或调制)到某种载体上,然后再将此调制的载体传送到目的地后,将信息从载体中解调出来。在通信系统中,发送信息端叫信源,接收端叫信宿,信源的信号经过调制后通过电缆和电磁波发送到信宿端,在信宿端将接收信号解调以实现信号的恢复,从而完成信号的传输1。光纤通信是指利用光导纤维(简称光纤)传输光波信号的一种通信方式。数字光纤

12、通信系统由三大部分组成:光发送机,光缆和光接收机2。光波是属于电磁波的范畴,电磁波按照波长不同(或频率不同),可分为如长波、中波、微波、红外线、可见光、紫外线等。其中,紫外线、可见光、红外线都属于光波。光纤通信是工作在近红外区,即波长是0.8- 1.8um,频率为167-375THz3。1.2 本课题研究的目的和意义随着通信技术的发展,所需要传输的信息量大量增多,需要传输的信号种类也在增加,信号的传输要求增多,传输距离也在变长,在高压脉冲大电流放电的环境下要无失真的传输数据,首先,要对整个系统进行抗干扰研究,保证信号在没有受干扰的情况下进入传输过程。其次,在传输过程中,选取的介质要抗强电磁干扰

13、,而光纤在抗电磁干扰方面显然要优于金属传输介质。诸多军事科研项目实验和产品应用是在高压脉冲大电流脉冲情况下,环境较恶劣,传统电缆等信号传输途径难以在此类环境中正常工作。电缆通信和微波通信的载波是电波,光纤通信的载波是光波。虽然光波和电波都是电磁波,但是频率差别很大。 光纤通信用的近红外光(波长约1m)的频率(约300 THz)比微波光纤通信与传统的电缆相比具有一系列明显的优点:1.允许的频带很宽,传输容量很大。2.损耗很小,中继距离很长且误码率很小。3.重量轻、体积小。4.良好的抗电磁干扰性能。5.光纤之间的串扰小,信号传输质量高4。1.3 本课题国内外研究现状光纤通信是近30年迅猛发展起来的

14、高新技术,从一开始就显示出无与伦比的优越性,引起人们的极大兴趣和关注并得到迅速的发展。自70年代以来,光纤通信技术不仅在电信等民用领域得到了广泛的应用,且因其独特的频带极宽和通信容量大、衰减小等优点,使得光纤通信技术至今已发展为举世瞩目的独立的新兴产业,给通信技术乃至国民经济、国防事业和人民生活带来了巨大的变革5。1. 波分复用技术波分复用技术可以充分利用单模光纤低损耗区带来的巨大带宽资源,根据每一信道光波的频率或波长不同将光纤的低损耗窗口划分成若干个信道,把光波作为信号的载波,在发送端采用波分复用器(合波器)将不同规定波长的信号光载波合并起来送入1根光纤进行传输。在接收端,再用1个波分复用器

15、 (分波器)将这些不同波长承载不同信号的光载波分开的复用方式。2. 光纤接入技术光纤接入网是信息高速公路的“最后一公里”。实现信息传输的高速化,满足大众的需求,不仅要有宽带的主干传输网络,用户接入部分更是关键,光纤接入网是高速信息进入千家万户的关键技术。在光纤宽带接入中,由于光纤到达位置的不同,有FTTB、FTTC、FTTCAB和FTTH等不同的应用,统称FTTX6。迄今已经在30多个城市建立了试验网和试商用网,包括居民用户、企业用户、网吧等多种应用类型,也包括运营商主导、驻地网运营商主导、企业主导、房地产开发商主导和政府主导等多种模式,发展势头良好。很多城市制订了FTTH的技术标准和建设标准

16、,有的城市还制订了相应的优惠政策,这些都为FTTH在我国的发展创造了良好的条件7。3. 超大容量、超长距离传输技术对光纤通信而言,超高速度、超大容量和超长距离传输一直是人们追求的目标,而全光网络也是人们不懈追求的梦想。波分复用技术极大地提高了光纤传输系统的传输容量,在未来跨海光传输系统中有广阔的应用前景8。近年来波分复用系统发展迅猛,同时全光传输距离也在大幅扩展。提高传输容量的另一种途径是采用光时分复用技术 9。4. 光孤子通信光孤子是一种特殊的超短光脉冲,由于它在光纤的反常色散区,群速度色散和非线性效应相互平衡,因而经过光纤长距离传输后,波形和速度都保持不变。光孤子通信就是利用光孤子作为载体

17、实现长距离无畸变的通信,在零误码情况下信息传递可达万里之遥10。5. 全光网络未来的高速通信网将是全光网。全光网是光纤通信技术发展的最高阶段,也是理想阶段。传统的光网络实现了节点间的全光化,但在网络结点处仍采用电器件,限制了目前通信网干线总容量的进一步提高,因此真正的全光网已成为一个非常重要的课题11。1.4 课题研究的主要任务、要求及总体结构1.4.1 课题研究的主要任务本课题研究的主要任务是设计模拟信号数字光纤传输系统。实现一路模拟信号的数字传输。采用时分复用方式对模/数转换后的多路数字信号进行传输,同时必须考虑接收部分的同步问题,接收端对接收到的信号解时分复用。要求本设计给出一定的解决办

18、法。该设计在对前人研究的基础上做了一定的改进:经过A/D和D/A转换器的信号采用了现场可编程逻辑阵列CPLD来实现多路信号的时分复用和解时分复用,降低了对硬件的要求。同时通过CPLD控制信号的输出取得发射接收的同步。论文采用8B10B码型变换在编、译码器中变换成适宜在光纤中传输的信号。在论文完成最后,应用VHDL语言完成论文程序的编写,同时得出仿真结果。1.4.2 课题研究的要求本课题的要求是1.通信设备的信道带宽要宽。2.符合发射接收的同步要求。3.系统的采样速率为1Msps。1.4.3 课题研究的总体结构根据课题所提出的具体要求,可画出整个系统的结构框图如图1.1。A/D转换器电/光转换编

19、码器CPLD模拟信号电信号光信号光/电转换电信号解码器CPLDD/A转换器图1.1系统的结构框图第2章 系统设计理论基础2.1 光纤线路编码2.1.1 光纤数字通信系统对线路编码的要求 在光纤数字通信系统中,一般不直接传输由PCM电端机传送过来的接口码,而要经一定的码型变换,使之成为适合光纤数字通信传输要求的线路码12。 对光纤数字通信系统采用的线路码有以下几点主要要求: 1. 能限制信号带宽,减小功率谱中的高、低频分量; 2. 能给接收端提供足够的定时信息; 3. 能对光端机和光中继器进行不中断业务的误码检测; 4. 能提供传输一定数量的辅助信号和区间通信信道。2.1.2 8Bl0B线路码型

20、将输入的原始码流进行分组,每组有8个二进制码,记为8B码,称为一个码字,经过这样的分组,共有28=256个码字,变换为10个二进制码,记为10B码,共有210=1024个码字。为简化对它们的处理,开发了一种8B/l0B编码的简写符号:1. D分组,用于数据字节编码;2. K分组,用于特殊控制符号编码。下图描述了数据字节(8B)到代码字(10B)的映射。发送和接收时第0位在先第9位最后。8位的数据字节用ABCDEFGH表示(从最低位到最高位)。编码器将这8位数据码变换为10位码,用abcdeifghj表示。代码字分为两个子分组,一组包括6个代码位(abcdei),另一组包括4个代码位(fghj)

21、。一个给定的代码用简写形式Dx.y(数据编码)或Kx.y(特殊编码)表示,其中x是EDCBA的十进制值(E是最高有效位),y是HGF的十进制值(H是最高有效位)图2.1 8B10B码变换图2.2 多路复用技术为了提高传输媒质的利用率,降低成本,提高有效性,提出了复用问题。所谓多路复用,是指在数据传输系统中,允许两个或两个以上的数据资源共享一个公共传输媒质,就像每个数据源都有它自己的信道一样。所以,多路复用是一种将若干个彼此无关的信号合并为一个能在一条共用信道上传输的复合信号的方法。在数字光纤通信系统中采用时分多路复用技术。时分多路复用(TDM)把许多输入信号结合起来,并一起传送出去。TDM是在

22、时间上进行分割(频率上重合),各路信号占用不同的时间段,形成一帧数据进行传输,以实现多路传输。TDM保持了信号物理上的独立性,而从逻辑上把它们结合在一起。因此,TDM技术多用于数字信号。在TDM技术中,发送端除发送有用信号同时还要发送一标志码(称为帧同步码)以表示数据传输的开始。把标志码与数据码轮流发送一次构成的码流称为帧。在接收端就可以根据接收到的帧同步信号判断帧的始末,从而使收、发两端信号一一对应。这在时分多路复用中称为帧同步(帧定位)。否则,在接收端不能确定发送端的首尾,因而收、发两端用户在时间上不能一一对应地实现正常通信。当然,要实现帧同步首先要应使收、发两端的时钟频率一致,即时钟同步

23、(位同步)。因为只要这样,才能把数据码流一个一个正确地接收下来。图2.2 时分复用示意图2.3 PECL接口电路2.3.1 PECL电路的特点差分电压就是用两个物理量的差异来表示一个数值的电压信号。其中有两个电压量(V+和V-),当V+大于V-时,表示正极性电压;当V+小于V-时,表示负极性电压。在高速的传输系统中一般级间的传输一般采用ECL(射极祸合逻辑)来实现数据信号的传递,ECL电路专门为高速低噪声数字系统的应用要求设计的,采用ECL电路具有以下独特的优点:1.速度快2.逻辑功能强3.负载能力强4.噪声低5.便于数据传输当然,ECL电路也存在其弱点,最大的弱点就是其静态的直流功耗大,从某

24、种意义上来说,ECL电路是以牺牲功耗来换取速度的。2.3.2 PECL级传输线路设计PECL耦合分为直流藕合和交流藕合,然而交流藕合功耗太大且电路设计复杂,我们的系统中采用PECL直流藕合,采用了并行端接技术以便电路正常工作。戴维南并行端接技术实现传输线阻抗的匹配,这样接收端就能获取到比较好的信号质量,同时该端接电路也为PECL电平能够正常地切换提供了合适的偏置电压。需要说明的是,PECL电路也能工作在单端连接的方式,这时源端和负载端的同相信号直接相连,接收端反向输入连接必要的下拉电阻为电路的正常工作提供参考电平,源端同样必须采用戴维南并行端接电路提供相应的偏压。 2.4 光发射机与光接射机2

25、.4.1 光发射机 在数字光纤通信系统13中,光发射机的方框图如图2.3所示,主要由光发射机和电路两部分组成,而电路部分又分为调制电路、控制电路和线路编码电路。光源是实现电光转换的关键器件,在很大程度上决定着光发射机的性能,电路部分的设计应以光源为依据。调制电路使输出光信号准确反映输入电信号,线路编码电路使输出的光信号适合于光信道传输,同时控制电路能够保证光源可靠稳定地工作。图2.3 数字光发射机方框图 光发射机是数字光纤通信系统中的三大组成部分(光发送机、光纤光缆和光接收机)之一。其功能是将电脉冲信号变换成光脉冲信号,并以数字光纤通信系统传输性能所要求的光脉冲信号波形从光源器件组件的尾纤发射

26、出去。 在数字光纤通信系统中,光源发出的光可以看作是光源载波,通过调制,使其载荷信息,一般采用直接强度调制的方式。光发射机原理方框图见图2.4,主要有整形码或码型变换电路、光源驱动电路和发射光源组成。图中的其他部分电路是结合光源器件的应用特性而采取的相应的补偿措施。光源在光纤通信系统中,作为产生光信号的光源一般为半导体二极管。目前,通信系统中常用的半导体发光器件是半导体激光器(LD)和发光二极管(LED)。 光源驱动光源驱动电路是光发送机的主要干电路,它将电脉冲信号通过电流强度的调制方式调制半导体激光器或发光二极管发射出光脉冲信号。 驱动器的作用是对光源提供驱动电流,它的性能应与半导体激光器或

27、发光二极管的特性相匹配。 半导体三极管的输出特性在放大区表现为恒流源,因此可以将LD或LED接在三极管的集电极电路中,用三极管的集电极电流驱动电源,使之发光。 辅助电路在实用光发送机中,为保证有稳定可靠的输出功率,以及使用、维护方便,往往要求有各种辅助控制电路。如自动功率控制(APC)、自动温度控制(ATC)、限流保护电路、寿面告警、无光告警电路等。2.4.2 光接射机数字光接收机在数字光纤通信系统中的作用是将经光纤光缆传输后衰减变形的微弱光脉冲信号通过光/电转换成为电脉冲信号,并给予足够的放大、均衡与定时再生还原成为标准的数字脉冲信号。光纤数字通信系统接收机的组成方框图如图2.4所示。图2.

28、4光接收机组成方框图当从光纤中输出的微弱的光信号入射在光电检测器的光敏面上时,光电检测器将其转变为电信号。前置放大器放大从光电检测器送来的微弱电信号,它是光接收的关键部分,要求它有足够小的噪声、适当的带宽和一定的信号增益。主放大器的作用是进一步放大信号,并且有一定的增益调整作用。均衡器将通过传输和放大后失真的信号进行补偿,使之输出适合于判决要求的脉冲形状(一般为升余弦脉冲)。为了使光接收机的输出保持恒定,采用了自动增益控制电路(AGC ),它由峰值检波、直流放大和控制电路组成。为了判决再生,还要从主放大器的输出提取时钟信号。从判决电路输出的数字信号送至接口,再进行码型反变换,恢复成原来的码型。

29、1. 光检测器光电检测器的作用是将光纤输出的微弱光信号转变为电信号,它是影响光接收机性能的重要器件。目前,适合于光纤通信系统应用的光检测器有PIN光电二极管和雪崩光电二极管(APD)。2. 前置放大器前置放大器是一个输入为高阻而输出为低阻的放大器,并且有电流/电压变换。前置放大器是光接收的关键部分之一,它直接影响接收机的灵敏度,因此它必须满足信号传输要求的足够带宽、高增益、低噪声性能。3. 主放大器及自动增益控制经过前置放大器放大的电信号送给主放大器进行进一步放大,主放大器实质上是一个普通宽带放大器,它是在满足一定带宽情况下,提高信号的幅度而不须考虑噪声,在开环情况下,一般要求主放大器的增益达

30、60dB。在实际运用中,光接收机所接收的光功率常常受到一些因素的影响而发生变化,如线路的长度不同,线路衰减的变化,发送光功率的波动,使得接收功率发生变化,为了保证主放大器输出的恒定,就必须具有自动增益控制功能,自动增益控制电路应该能保证放大器不要随之而失真,且放大器的带宽不会改变,一般要求自动增益控制范围为3050dB,甚至更大。4. 均衡和滤波经过主放大器放大后的电信号送给均衡滤波电路,均衡电路的主要作用是对经过光纤线路传输,己发生畸变的和有严重码间干扰的信号进行均衡,使其变为码间干扰尽可能小的信号,以利于定时判决,由此可见,均衡起的作用是对某些频率成分进行补偿,对某些频率成分进行抑制或者滤

31、除,同时,也对部分噪声进行滤除,最终使判决达到最佳,灵敏度损伤最小。均衡电路的输出希望是理想的升余弦波或高斯波。但在实际电路的实现中是无法作到的,一般采用近似的方法即可取得较好的结果。5. 时钟提取与判决判决器由判决电路和码形成电路构成。判决器和时钟恢复电路合起来构成脉冲再生电路,脉冲再生电路的作用是将均衡器输出的信号,例如,一升余弦频谱脉冲,恢复为“0”或“1”的数字信号。6. 接收机灵敏度接收机的灵敏度是表征光接收机调整到最佳工作状态时,光接收机接收微弱光信号的能力。在数字接收机中,允许脉冲判决有一定的误差范围。如果接收机将“1”码误判为“0”码,或者将“0”码误判为“1”码,这就叫1个错

32、误比特。如果在100个比特中判错了一个比特,则称误比特率为1/100即10-2。一般要求系统的误比特率小于10-9,即10亿个脉冲中只容许发生一个误码。影响接收机灵敏度的主要因素是噪声,表现为信噪比。信噪比越大,表明接收电路的噪声越小,对灵敏度影响越小。光接收机灵敏度是系统性能的综合反映,除了上述接收机本身的特性以外,接收信号的波形也对灵敏度产生影响,而接收信号的波形主要由光发送机的消光比和光纤的色散来决定。光接收机灵敏度还与传输信号的码速有关,码速越高,接收灵敏度就越差。这就影响了高速传输系统的中继距离。速率越高,接收机灵敏度越差,中继距离就越短。7. 接收机的动态范围光接收机前置放大器输出

33、的信号一般较弱,不能满足幅度判决的要求,因此还必须加以放大。在实际光纤通信系统中,光接收机的输入信号将随具体的使用条件而变化。造成这种变化的原因,可能是由于温度变化引起了光纤损耗的变化,也可能是由于一个标准化设计的光接收机,使用在不同的系统中,光源的强弱不同,光纤的传输距离也不同。这样,传给光接收机的光功率就不可能一样。为了使光接收机正常工作,接收信号不能太弱,否则会造成过大的误码。但接收信号也不能太强,否则会使接收机放大器过载,而造成失真。因此光接收机正常工作时,接收光信号的强度应该有一个范围。把光接收机在保证一定的误比特率条件下,所能接收的最大光功率与最小光功率之差,称作光接收机的动态范围

34、。一般希望光接收机的动态范围越大越好,实际中一般为16-20dB。2.5 同步技术数字通信的特点之一是通过时间分割来实现多路复用,即时分多路复用。在通信过程中,信号的处理和传输都是在规定的时隙内进行的。为了使整个通信系统有序、准确、可靠地工作,收、发双方必须有一个统一的时间标准,这个时间标准就是靠定时系统去完成收、发双方时间的一致性,即同步。同步系统性能的好坏将直接影响到通信质量的好坏,甚至会影响到通信能否正常进行。同步系统就像数字通信设备和系统的神经中枢一样,是保证通信系统正常工作的前提。在这里,我们主要介绍位同步和帧同步。2.5.1 位同步位同步又称为码元同步,或比特同步。不管是基带传输,

35、还是频带传输,都需要位同步。因为在数字通信系统中,消息是由一连串码元序列传递的,这些码元通常都具有相同的持续时间。由于传输信道的不理想,以一定速率传输到接收端的数字信号,必然是混有噪声和干扰的失了真的波形。为了从该波形中恢复出原始的基带数字信号,就要对它进行取样判决。因此,要在接收端产生一个“码元定时脉冲序列”,这个码元定时序列的重复频率和相位(位置)要与接收码元一致,这样才能保证:1.接收端的定时脉冲重复频率和发送端的码元速率相同。2.取样判决时刻对准最佳取样判决位置。这个码元定时脉冲序列称为“码元同步脉冲”或“位同步脉冲”。通常,我们把位同步脉冲与接收码元的重复频率和相位的一致称为位同步或

36、码元同步,而把同步脉冲的取得称为位同步提取。2.5.2 帧同步帧同步也称为群同步。对于数字信号传输来说,数字信号是按照一定的数据格式传送的,一定数目的信息码元组成一“字”,若干“字”组成一“句”,若干“句”构成一帧,从而形成帧的数字信号序列。接收端要正确地恢复消息,就必须识别句或帧的起始时刻。在数字时分多路通信系统中,各路信码都安排在指定的时隙内传送,形成一定的帧结构。在接收端为了正确地分离各路信号,必须识别出每帧的起始时刻,从而找出各路时隙的位置,即接收端必须产生与字、句和帧起止时间相一致的定时信号,称获得这些定时序列为帧(或群)同步。帧同步的任务就是在位同步的基础上识别出数字信息群(字、句

37、、帧)的起始时刻,使接收设备的帧定时与接收到的信号中的帧定时处于同步状态。换句话说,帧同步就是确定每帧的起始时刻,确定了这个时刻,就可以根据预定的帧结构来确定帧的长度和其中字、句的位置了。插入特殊码组实现帧同步的方法有两种,即集中式插入法和间隔式插入法。集中式插入法又称为连贯式插入法。这种方法就是将帧同步码以集中的形式插入在一帧的开始,此方法的关键是要找出作为帧同步码组的特殊码组。这个特殊码组一方面在信息码元序列中不易出现以便于识别,另一方面识别器也要尽量简单。图2.5 间隔式插入群同步方式间隔式插入法又称为分散式插入法。它是将帧同步码以分散的形式插入信息码流中。这种方式比较多地应用在多路数字

38、电路系统中。间隔式插入法如图2.5所示,帧同步码均匀分散地插入在一帧之内。帧同步码可以是1,0交替码型。在接收端检测出帧同步信息后,再得出分路定时脉冲。间隔式插入法的的缺点是当失步时,同步恢复时间较长,因为如果发生了帧失步,则需要逐个码位进行比较检验,直到重新收到帧同步的位置,才能恢复帧同步。此类方法的另一个缺点是设备较复杂,因为不象集中式插入法那样,帧同步信号集中插入在一起,而是要将帧同步码在每一个子帧里插入一位码,这样帧同步码编码后还需要加以存储。第3章 系统模块的连接3.1 A/D转换模块与D/A转换模块3.1.1 AD574A特点AD574A由一片模拟电路和一片数字电路组成的混合式集成

39、芯片,是一个完整的逐位比较式12位模/数转换器,具有可与8位、12位或16位单片微机直接接口的三态输出缓冲器。3.1.2 AD574A管脚1. AD574A有两组控制管脚:一般控制管脚(CE、CS、R/C)和内部寄存器控制管脚(12/8和A0)。CE、CS、R/C:主要控制启动转换和读允许。当CE=1,CS=0,R/C=0时,启动转换;当CE=1,CS=0,R/C=1时,读允许14。2. 内部寄存器控制管脚12/8和A0:主要控制数据输出形式和转换时间的长短。若12/8=1,当一般控制管脚发出读数据命令时12根输出数据线上的数据均有效;若12/8=0,对于一个8位的接口,则根据A0的状态来确定

40、是高8为有效还是低4位有效。这时数据线低4位要硬连接到数据线高4位上。在这种情况下,若A0=0读出高8位数据;若A0=1,高8位数据线禁止,读出低4位数据。在转换周期开始前,若A0处于低电平,完成完整的12位转换需要25us;若A0处于高电平,仅完成8位转换,大约需要16us。为了与8位总线接口,需占据两个存储器地址(用A0来选择)当A0为低时,执行的写操作是启动一次完整的12位转换周期;而当A0为高时,执行的写操作是启动一次8位的短转换周期这样的读数精度低而速度快。3. STS为状态线,当转换开始时,它变高;在转换过程中,一直维持为高;转换周期结束时,它将变为低。3.1.3 A/D转换模块电

41、路图3.1 A/D转换模块连接电路3.2 D/A转换模块3.2.1 D/A转换器原理及接法D/A转换器的作用是完成信号由数字量到模拟量的转换。数字量是由二进制代码按数位组合起来的。为了实现数字量到模拟量得转换,必须将每位代码按其权值的大小转换成相应的模拟量,然后将各路模拟量相加,其总和就是数字量对应的模拟量,这就是D/A转换器的基本原理。为与发送端相对应,本系统接收端必须采用12bitD/A转换器。D/A转换芯片采用ADI公司AD5445,其转换速率为20Msps,AD5445管脚的功能如表3.1。表3.1 AD5445各管脚功能管脚号管脚名功能1IOUT1数模转换电流输出2IOUT2模拟地输

42、入端,3GND接地4-15DB0-DB11数字信号输入端16CS芯片使能控制端输入,低电平有效17R/W读/写控制;低电平时,写入数字信号18VDD2.5V5.5V正电压供电19VREF参考电压输入端,范围为-10+10V0RFB反馈电阻引脚3.2.2 D/A转换模块电路根据前面分析,D/A转换模块电路及其与CPLD的连接设计如图3.2。AD5445采用+5V供电;数字信号输入端DB0DB11同CPLD的12位数据输出端相连,电容C6、C7起稳压作用(C9C10、C11C12、C13C14同理);运放Am741采用12V电压供电;芯片ADR01的作用是将+12V电压(VDD)转换成+10V电压

43、,输入到VREF。图3.2 D/A转换模块连接电路3.3 CPLD模块3.3.1 CPLD的概述CPLD是现场可编程逻辑阵列的简称,是可编程逻辑器件的一种。它是在PAL, GAL等小规模逻辑器件的基础上发展起来的。同以往PAL, GAL等相比较,它的规模比较大,可以代替几十甚至几千块通用IC芯片。这样的CPLD实际上就是一个子系统部件。这种芯片得到电子设计人员的广泛关注和普遍欢迎。与门阵列等其它ASIC (Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点,因此被广泛应用于产品的原型设

44、计和产品生产(一般在10000件以下)之中。经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件。比较典型的就是Xilinx公司和Altera公司的FPGA器件系列。本系统设计选用的就是主流厂家Altera公司生产的一款性价比很高的MAX7000S15系列的CPLD器件。3.3.2 MAX7000S功能特性及其内部结构MAX7000S系列器件是以Altera公司的第二代多阵列矩阵结构为基础的一种现场可编程逻辑阵列(CPLD),它采用先进的0.8umCMOS技术制造。集成了600-5000个可用门,其引脚到引脚的延时可达5ns,应用广泛。MAX7000S系列器件内部包括五个部分16:逻辑阵列

45、块(LogicArrayB1ockLAB)、宏单元(Macrocells)、拓展乘积项(Expander Product Terms E-PT),可编程连线阵列(Programmable Interconnect Arrays PIA)和I/O控制块(I/O Control Blocks IOC)。除此之外,还有全局时钟输入和全局输出使能控制线,它们可以作为一般的输入使用。1. 逻辑阵列块LAB逻辑阵列块是MAX7000S系列器件中最大的逻辑单元,每个逻辑阵列块由16个宏单元构成,它们与各自对应的I/O控制块相连,4个逻辑阵列块通过可编程连线阵列PIA和全局总线连接在一起.全局总线由所有的专用

46、输入、I/O引脚和宏单元反馈构成,不同逻辑阵列块之间的连接,正是利用它们来实现的,实现更复杂的逻辑功能。每个逻辑阵列块有如下输入信号:(1)来自通用逻辑输入的P1A的36个信号;(2)用于存储器辅助功能的全局控制信号;(3)从I/O引脚到寄存器的直接输入通道,用以实现MAX7000S器件的快速建立。2. 宏单元MAX7000S系列器件的具体逻辑单元称为宏单元,它们可以用来实现各种具体的逻辑功能。宏单元由逻辑阵列、乘积项选择矩阵和可编程触发器构成,逻辑阵列来实现组合逻辑函数,每个宏单元提供5个乘积项。通过乘积项选择矩阵实现对这5个乘积项的逻辑函数或者这5个乘积项作为宏单元的触发器的辅助输入。3.

47、 拓展乘积项大多数逻辑函数能够用一个宏单元的5个乘积项实现,但一些复杂的逻辑函数需要附加乘积项才能实现。为了提供所需的逻辑资源,可以利用另一个宏单元内部的逻辑资源。MAX7000S结构允许利用其提供的共享和并联扩展乘积项来实现,而不是利用另一个宏单元。这两种扩展项作为附加的乘积项可以直接送到本逻辑阵列块的任意宏单元中。由于使用了扩展乘积项,从而在实现逻辑综合时,能用尽可能多的逻辑资源,实现比较快的工作速度。4. 可编程连线阵列PIA通过可编程连线阵列PIA,可以把各逻辑阵列块相互连接,构成用户所需要的逻辑功能。该全局总线是可编程的通道,它可以把器件中的任何信号源连接到其目的地上。所有MAx70

48、00s的专用输入、I/O引脚和宏单元的输出都会馈送到PLA, PIA再把这些信号送到整个器件内部的各个地方。只有每个逻辑阵列块所需要的信号才真正给它提供从PIA到该逻辑阵列块LAB的连线。5. I/O控制块IOC每个逻辑阵列块与外界I/O引脚之间有一个I/O控制块,I/O控制块允许每个I/O引脚单独地配置为输入、输出或双向工作方式。I/O控制块中主要使用三态门及使能控制电路。一个I/O控制块由8-16个三态门和使能控制电路组成17。所有I/O引脚都有一个三态输出缓冲器,它的使能端受可编程数据选择器输出信号驱动。3.3.3 CPLD芯片的连接电路 CPLD的连接电路如图3.3图3.3 CPLD的

49、连接电路3.4 编/译码模块3.4.1编码模块 由于线路码型是用于传输的码型,因此在光发送机中,必须将普通的二进制码流进行码型转变,即进行编码,成为线路码去调制光源器件,变成光脉冲送到光纤中传输。需要强调的是,为了正确译码,接收端必须考虑组同步的问题。18 发送端编码器选用是CYPRESS半导体公司推出的一种用于点对点之间高速串行数据通信的发送芯片CY7B92319,与接收部分的接收芯片配套使用。芯片CY7B923的内部电路主要包括输入寄存器、编码器、时钟发生器、移位器、测试逻辑和三对PECL输出端口。编码器和光/电转换模块的互连20,根据对编码码芯片的结构以及实现功能的分析,图3.4给出了编

50、码芯片与光收发模块的连接电路以及其部分的外围电路。我们用的芯片的工作方式是编译码方式,图中MODE控制的就是编码还是旁通方式,Data为数据输入输出端,和状态信息输出端(SC/D、RVS、RDY)与CPLD数据输入输出端连接。REFCLK上的时钟信号都是由外部晶振提供。图3.4 编码器的连接电路3.4.2 译码模块接收端译码器选用是CYPRESS半导体公司推出的一种用于点对点之间高速串行数据通信的接收芯片CY7B933,与发送部分的发送芯片配套使用。芯片CY7B833的内部电路主要包括两对PECL串行输入接口、PECL/TTL电平转换器、时钟同步器、成帧器、移位器、译码寄存器、译码器、输出寄存

51、器和测试逻辑等几部分。译码器和光/电转换模块的互连,根据对译码芯片的结构以及实现功能的分析,图3.5给出了译码芯片与光收发模块的连接电路以及其部分的外围电路。图3.5译码芯片的连接电路图中MODE控制的就是译码还是直通方式,Data为数据输入输出端,和状态信息输出端(SC/D、RVS、RDY)与CPLD数据输入输出端连接。REFCLK上的时钟信号都是由外部晶振(与编码芯片相同)提供,系统中译码芯片与光纤驱动模块之间的引线采用直流耦合连接方式,匹配电阻应尽量靠近终端模块。光接收模块上有一个引出信号SD,它为断缆信号,把它与译码芯片的IB-端口相连,当接收器接收不到光信号时,SD会通过IB-给译码

52、器一个信号,通过译码器的PECL-TTL转换电路从SO端输出一个TTL信号,SO端口与CPLD的使能控制端相连,这个TTL信号就会有效时CPLD不工作或无输入输出,断缆指示灯亮。断缆信号主要是从整个系统的安全性考虑的。3.5 光/电、电/光转换模块在本系统的设计中,系统要求光纤传输的速率并不是很十分高和传输距离也不是很远,所以此模块可使用集成光收发模块。光纤收发模块选用的是惠普公司的HFBR-5103/-5103T,其集成度高,接口简单,传输速度高达150Mbps,抗电磁干扰能力强,使用十分方便,因而在光纤传输系统中得到广泛应用。3.5.1 光收发模块结构及功能 模块由光发送和光接收两部分组成

53、。在光发送部分,光源发出的光波长为1300nm。这个LED光源和一些集成电路一同被封装在一起,形成了光发送部分。这些集成电路能进行差分(PECL)电平与TTL电平的转换。关于PECL电路的特点及应用范围在前面已经介绍。在光接收部分,所用的光电检测器件PIN光电二极管。这个光电二极管和一些带有前置放大器的集成电路封装在一起。这个前置放大器能对由光电二极管检测到的信号进行整形和放大。同样,该部分的数据也兼容于PECL电平。该模块的总体封装包括两个基本的原件:两个光学器件和两个电子元器件。在发送部分,直接由驱动电路驱动LED二极管发光,这个驱动电路包括调制电路和控制电路。在接收部分,主要由前置放大器

54、、主放大器两部分组成,在接收端的电路部分也能自动完成信号放大、自动增益控制等功能。所以,在这里只要我们选择正确的接口芯片后,可以直接应用它们,而不需要在另外设计电路来配合使用LED发光二极管和PIN光电二极管了。3.5.2 光收发模块连接电路在接收端,集成光收发模块与译码器之间的电路连接采用PECL电平传输模式。芯片HFBR-5103采用+5V供电,其外围电路的连接方法采用HFBR-510芯片手册标准接法。其中,VCC端口为光信号输入端,、端与译码器的差分电平输入端相连。SD端为断缆信号输出端。第4章 系统的软件设计4.1 EDA技术概述 EDA是电子设计自动化(ElectronicDesig

55、nAutomation)的英文缩写,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造),CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 4.2 开发软件介绍 EDA技术在当代迅猛发展,同时各种EDA软件也如雨后春笋般呈现在用户面前。它们一般分为两种,一种是专业的芯片制造商为推广自己的芯片而开发的专业EDA软件,Altera公司推出的MAX十PLUS

56、II就属于此类。另一种是EDA软件商提供的第三方软件。下面就对MAX+PLUS II软件21进行简要的介绍。4.2.1 MAX+PLUS II简史 MAX+ PLUSII是Multiple Array Matrix and Programmable Logic User System II的英文缩写,它中文全称是复阵列矩阵及可编程逻辑用户系统。MAX+PLUS II是Altera公司专为本公司生产的CPLD的研制和应用而开发的软件,它随着器件的日益高度集成而迅速发展。4.2.2 MAX+PLUS II具有的特点 Altera公司的开发软件MAX十PLUSII .是比较出色的EDA软件之一,它界

57、面丰富,使设计灵活、方便、高效。因此,本次课题设计中选择该软件作为CPLD开发平台。下面对MAX+ PLUS 11软件的特点简单介绍如下:1. 开放的界面。MAX+PLUSII 软件可与其它工业标准设计输入、综合及校验工具相连接。设计人员可使用Altera或标准设计输入工具来建立逻辑设计,使用MAX+PLUS II编译器对Altera器件设计进行编译,并能使用Altera或其它EDA校验工具进行器件仿真。2. 与结构无关。MAX+PLUS11 支持Altera公司的FLEXIOK,FLEX8000, FLEX6000, MAX9000, MAX7000, MAX5000, ACEXIE, MA

58、X3000A和Classic等PLD系列。MAX+PLUSII的编译器还提供了强大的逻辑综合与优化功能,使用户比较容易地将其设计集成到器件中。3. 完全集成化的环境。它是一个完全集成化、易学易用的可编程逻辑设计环境,设计输入方式有图形输入、文本输入、波形输入、网表输入。其编译及设计处理与仿真、定时分析、逻辑综合与适配均为Windows界面。4. 支持各种硬件描述语言。支持各种HDL设计输入选项。包括:VHDL,Verilog HDL, AHDL等硬件描述语言。5. 模块化工具。设计人员可以从各种设计输入、处理和校验中进行选择,从而使设计环境用户化,必要时,还可以根据需要添加新功能。6. 丰富的

59、设计库。提供丰富的库单元供设计调用。对于复杂的大系统,设计者可调用宏单元库,并对其修改某些参数,而无需自己用基本逻辑单元来构成某种功能,大大减轻设计人员的工作量,缩短设计周期.4.3 MAX+PLUSII设计流程 MAX+PLUS II的设计流程分为四个方面:设计输入(Design Entry)、设计编译(Compilation)、设计校验(Verification)和器件编程(Programming).4.4 软件设计思想本系统选用的芯片是Altera公司的EPM7032SLC44-1022,开发软件用的是MAX+PLUS II,通过前面对此软件的介绍,我们知道MAX+PLUS II软件对C

60、PLD的开发是先要通过软件编程然后下载到CPLD中,然后把CPLD芯片与印刷线路板上其他电路连接,从而实现系统的功能。下面就简单介绍一下程序设计的基本思想。发射部分程序功能框图如图4.1:图4.1 发射部分程序功能框图 下面对程序时分复用部分进行简要的说明:在发送端A/D转换器对模拟信号进行抽样、量化、编码后输出的是12路数字信号,由于编解码芯片是8位数据输入输出,因此,收发双方约定:在12路数字信号的高位补0,将其变为16路数字信号。在发送端C要完成对12路数字信号到16位数字信号的转变,并将转变后的16路信号进行分组间插的时分复用功能,将两个通道8位(即高8位和低8位)的数据信息以时间分割

61、的方式插入到信道。而时分复用必须采取同步技术来使接收端能够识别和恢复这种帧结构,所以在发送端用CPLD来控制对多路数据的采样,等分为3个时隙:第一个时隙来传送高8路信号、第二个时隙用来传送低8路信号、第三个时隙用来作为系统同步码K28.5发送时隙。时分复用示意图如图4.2: 1-8路 9-16路 1-8路 9-16路 数据 数据 同步码 数据 数据 同步码 图4.2 时分复用示意图接收部分程序功能框图如图4.3:图4.3接收部分程序功能框图下面对程序解时分复用部分进行简要的说明:解时分复用就是时分复用的逆过程,在帧同步的前提下从各个时隙正确的分离出高8位和低8位数据,并将其合并为16路数据。最

62、后去掉16位数据中的高4位,即提取出12位有效数据,并输出给D/A转换器。图4.4解时分复用示意图4.5 程序部分 MAX+PLUS II软件的设计输入方法有多种,包括原理图输入方式、文本设计输入方式、波形设计输入方式、网表设计输入方式等。各种输入方式均有各自的优点,当所设计模块的逻辑比较复杂时,一般使用文本输入方式。因为文本输入方式是通过语言表示逻辑得一种输入方式,它能够以简洁的语句描述复杂的逻辑,大大降低了逻辑设计的复杂度。图形输入方式是一种直观的输入方式,在设计项目顶层文件时通常使用此种输入方式。本系统软件程序采用MAX+PLUS II软件文本设计输入方式,并采用VHDL语言进行编程。程序设计:接收部分程序设计:VHDL语言源程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY stdma1 IS PORT( clk,rdy,rvs,scd:IN STD_LOGIC;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!