用verilog语言设计四位简单计算器

上传人:仙*** 文档编号:32160141 上传时间:2021-10-13 格式:DOC 页数:4 大小:86.50KB
收藏 版权申诉 举报 下载
用verilog语言设计四位简单计算器_第1页
第1页 / 共4页
用verilog语言设计四位简单计算器_第2页
第2页 / 共4页
用verilog语言设计四位简单计算器_第3页
第3页 / 共4页
资源描述:

《用verilog语言设计四位简单计算器》由会员分享,可在线阅读,更多相关《用verilog语言设计四位简单计算器(4页珍藏版)》请在装配图网上搜索。

1、module jsq(clk,keyin,keyout,leda,ledb,num3);inputclk;input3:0keyin;output3:0keyout;reg3:0keyout;output3:0leda;reg3:0leda;output3:0ledb;reg3:0ledb;integer clk_klv;output31:0num3;always (posedge clk)/分频clk_klv=clk_klv+1;reg1:0keyhang=0;/按键扫描reg3:0keynum=0;/最近按键的值regkeyen=0;/按键锁定作用,每次都必须重新按下才有效regkeysi

2、gn=0;/当前按键的属性,0代表数字,1代表 +-*/=复位reg7:0delay=0;/按键消抖regorder2=0;/作用:延迟一个时钟,keyen跳变输出,确保keynum被赋值always (posedge clk_klv10)beginif(order2=0)begincase(keyhang,keyin)6b00_1110: begin delay=0;if(keyen=0)begin keysign=0;keynum=4h0;order2=1;end end6b00_1101: begin delay=0;if(keyen=0)begin keysign=0;keynum=4

3、h1;order2=1;end end6b00_1011: begin delay=0;if(keyen=0)begin keysign=0;keynum=4h2;order2=1;end end6b00_0111: begin delay=0;if(keyen=0)begin keysign=0;keynum=4h3;order2=1;end end6b01_1110: begin delay=0;if(keyen=0)begin keysign=0;keynum=4h4;order2=1;end end6b01_1101: begin delay=0;if(keyen=0)begin ke

4、ysign=0;keynum=4h5;order2=1;end end6b01_1011: begin delay=0;if(keyen=0)begin keysign=0;keynum=4h6;order2=1;end end6b01_0111: begin delay=0;if(keyen=0)begin keysign=0;keynum=4h7;order2=1;end end6b10_1110: begin delay=0;if(keyen=0)begin keysign=0;keynum=4h8;order2=1;end end6b10_1101: begin delay=0;if(

5、keyen=0)begin keysign=0;keynum=4h9;order2=1;end end6b10_1011: begin delay=0;if(keyen=0)begin keysign=1;keynum=4ha;order2=1;end end6b10_0111: begin delay=0;if(keyen=0)begin keysign=1;keynum=4hb;order2=1;end end6b11_1110: begin delay=0;if(keyen=0)begin keysign=1;keynum=4hc;order2=1;end end6b11_1101: b

6、egin delay=0;if(keyen=0)begin keysign=1;keynum=4hd;order2=1;end end6b11_1011: begin delay=0;if(keyen=0)begin keysign=1;keynum=4he;order2=1;end end6b11_0111: begin delay=0;if(keyen=0)begin keysign=1;keynum=4hf;order2=1;end enddefault: begin keyhang=keyhang+1;delay=delay+1;if(delay=100)keyen=0;endendc

7、asecase(keyhang)0:keyout=4b1110;1:keyout=4b1101;2:keyout=4b1011;3:keyout=4b0111;endcaseendelsebegin keyen=1;order2=0;endendreg 2:0order=0;/当前状态integernum1=0,num2=0,num3=0;/第一个,第二个,结果reg 3:0sign;/+-*/reg7:0lednum=100;/显示的数字reg3:0in_num=0;/限制显示的数字位,限制在2为:099always (posedge keyen)begincase(order)0:if(k

8、eysign)begin sign3:0=keynum3:0;order=order+1;in_num=0;end/在没有按下+-*/之前会存储数字else if(num110000)begin num1=num1*10+keynum;lednum=num1; in_num=in_num+1;end1:if(keysign)begin case(sign)/在没有按下=号之前会存储数字4ha:num3=num1+num2;4hb:num3=num1-num2;4hc:num3=num1*num2;4hd:num3=num1/num2;endcaselednum=num3+0;order=order+1;end else if(num210000)begin num2=num2*10;num2=num2+keynum;lednum=num2;in_num=in_num+1;endendcaseif(keynum=4hf)begin order=0;num1=0;num2=0;num3=0;lednum=100;end/复位endalways (*)/显示函数if(lednum=99)beginleda=lednum/10;ledb=lednum%10;endelsebeginleda=15;ledb=15;endendmodule

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!