毕业设计(论文)简易循环彩灯控制器的制作

上传人:仙*** 文档编号:32073412 上传时间:2021-10-13 格式:DOC 页数:26 大小:493KB
收藏 版权申诉 举报 下载
毕业设计(论文)简易循环彩灯控制器的制作_第1页
第1页 / 共26页
毕业设计(论文)简易循环彩灯控制器的制作_第2页
第2页 / 共26页
毕业设计(论文)简易循环彩灯控制器的制作_第3页
第3页 / 共26页
资源描述:

《毕业设计(论文)简易循环彩灯控制器的制作》由会员分享,可在线阅读,更多相关《毕业设计(论文)简易循环彩灯控制器的制作(26页珍藏版)》请在装配图网上搜索。

1、西安欧亚学院本科毕业论文(设计) 题 目: 简易彩灯循环控制器 学生姓名: 指导教师: 所在分院: 信息工程学院 专 业: 电子信息工程 班 级: 统本0701 二O一一 年 四 月简易循环彩灯控制器的制作摘要:近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,

2、构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。关键词:发光二极管;计数器;ME555Counting the design of industrial control systemsAbstract:In recent years, due to the rapid development of integrate

3、d circuits, make digital logic circuit design undergone a fundamental change. In the design more use scale integrated circuit, not only can reduce the number of circuit components, the circuit, and can improve the simplicity of the circuit reliability, reduce the cost. So with integrated circuit to

4、achieve more complex device function is inevitable.In modern life, lantern has become more and more people adornment, it not only can beautify the environment, rendering atmosphere, it can also be used in entertainment and electronic toys, now with this topic for example analysis and design programm

5、able lights control circuit many, the forms and integrated piece type, number, and multifarious has special programmable circular lights control circuit. Most of the lights control circuit are realized with digital circuits, for example, use medium scale integrated circuit realized with the lights c

6、ontroller mainly counter and decoder, distributors and shift register etc integration. The design of the programmable lights control circuit is to use registers, counter and decoder, etc. To realize, its characteristic is using light-emitting diodes display, realize preset programming circular funct

7、ionKey words: Leds; Counter; ME555目 录1 绪论11.1 彩灯控制系统研究的意义11.2 国内外研究发展现11.3 毕业设计的主要内容11.4 设计方法22 总体方案22.1 时钟信号32.2 花型控制32.3 花型演示33 电路硬件的功能组成43.1 电阻43.2 电容43.2.1 电容的分类53.2.2 电容值的表示方法53.3 发光二极管63.4 芯片NE55563.4.1 内部组成框图73.4.2 引脚图及其功能73.4.3 功能介绍:73.4.4 555定时器构成的多谐振荡器工作原理83.5 芯片74LS16183.6 芯片74LS194103.7

8、芯片74LS04113.8 芯片74LS08113.9 芯片74LS32124 设计分析和设计电路124.1 基本CP脉冲发生电路124.2 花型控制电路134.3 花型演示电路144.4 总体电路图155 硬件电路的安装和调试175.1 器件清单175.2 安装与调试:175.2.1 元件的分类与检测175.2.2 电子电路的故障分析与处理195.2.3 调试过程中遇到的问题19心得体会20致辞21参考文献、资料索引22西安欧亚学院本科毕业设计(论文)1 绪论1.1 彩灯控制系统研究的意义利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(

9、与全部彩灯始终全亮相比).近年来,随着人们生活水平的较大提高,人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器 的需要,也开始在环境的幽雅方面有了更高的要求.比如日光灯已经不能满足于我们的 需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到 日常生活中的点缀.这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现 实运用中有了较大的发展.在这一设计中我们将涉及有关彩灯控制器的设计,从原理上 使我们对这一设计有所了解.将其确实的与我们相联系起来。1.2 国内外研究发展现经查阅相关资料可知,国内外现今彩灯循环制主要有以下三种方法:(1)采用单片机或DS

10、P控制,单片机与DSP在通信,信号处理,自动化等诸多领域有着极其广泛的应用。对单片机或DSP芯片编写程序来实现对彩灯的控制,具有控制方便灵活,显示方式多种多样,外围电路少等优点。(2)采用CPLD或FPGA控制,CPLD与FPGA均是基于硬件描述语言的编程,具有单片机和DSP处理器相同的优点,但实现成本相对较高。(3)采用数字集成电路,由于集成度高,功能强大,所需器件少,成本低等诸多优点,所以集成电路在各种电子设备中得到了非常广泛的应用。1.3 毕业设计的主要内容设计一电路控制彩灯的循环显示,安装调试电路、验证功能。具体内容是8个发光二极管排成一行,二极管的亮灭构成彩灯图形。设计一个数字系统,

11、其循环显示如下8种彩色图案。(1) 彩灯全亮; (2) 彩灯自左向右渐灭至全灭; (3) 彩灯自左向右渐亮至全亮; (4) 彩灯全灭;(5) 彩灯自右向左渐亮至全亮;(6) 彩灯自右向左渐灭之全灭;(7) 彩灯全亮;(8) 彩灯全灭。1.4 设计方法根据设计要求,分析并设计电路:(1) 时钟电路眼睛要能够分辨出发光二极管的移位状态,所以时钟的频率不能太高,应该在50Hz以下。用NE555定时器设计出一个方波发生器(即多谐振荡器),频率在50Hz以下即可。(2) 移位寄存器的连接8个发光二极管的亮灭直接由移位寄存器的输出端控制,需要用两片4位移位寄存器。考虑移位寄存器能左移、右移及并入,采用两片

12、74LS194级联,让其实现左移、右移及并入(全1和全0)功能。(3) 图案的状态切换和移位寄存器的方式控制8种彩色图案的切换可以通过一个8进制的计数器实现,即每一个数字对应一种图形,且对应移位寄存器的控制及移位、并入的数据。另外,数据在8个发光二极管之间移位,即上述计数器每一计数状态要保持8个时钟,这也需要一个8进制分频器,用该分频器的输出控制前一计数器的计数。其中8个进制的分频器由74LS161来实现,可用清零或置数法。(4) 发光二极管的驱动发光二极管发光时的工作电流有几个岛十几个毫安,74LSXX系列的芯片的灌电流可达十几毫安,而拉电流只有零点几毫安,且规定高电平点亮发光二极管,低电平

13、熄灭,所以移位寄存器74LS194不能直接驱动发光二极管,可用74HC194代替74LS194,且移位寄存器与发光二极管之间还应该加限流电阻,该电阻一般取几百欧姆。2 总体方案通过分析问题和初步的整体思考,设计出如下方案:整体功能的实现需要以下三个模块来实现:时钟信号的产生,花型的控制,花型的演示模块。总体框图如图1所示:图1 总体框图2.1 时钟信号由NE555定时器构成的多谐振荡器由于NE555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由NE555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。脉冲发生器由NE

14、555与R1,R2,C1,C2组成的多谐振荡器组成,它是为花型控制器提供脉冲的。2.2 花型控制8个发光二极管的亮灭直接由移位寄存器的输出端控制,需要两片4位寄存器。考虑移位寄存器能左移、右移及并入,采用两片74LS194级联,让其实现左移、右移及并入(全1和全0)功能。2.3 花型演示8种彩色图案的切换可通过一个8进制的计数器实现,既每一个数对应一种图形,且对应移位寄存器的方式控制及移位并入、并入的数据,用如下表格1表示表1 彩灯图案分配表对应图案计数器状态(Q2 Q1 Q0)移位寄存器方式(S1 S0)移位寄存器(SL SR)并入数据(Sabcd) 第1种 0 0 0 0 1 1 第2种0

15、 0 1 0 1 0 第3种0 1 0 1 0 1 第4种0 1 1 1 0 0 第5种1 0 0 1 1 1第6种1 0 1 1 1 0第7种1 1 0 1 1 1 第8种1 1 1 1 1 03 电路硬件的功能组成3.1 电阻电阻的英文名称为resistance,通常缩写为R,它是导体的一种基本性质,与导体的尺寸、材料、温度有关,用符号R表示,单位为欧姆、千欧、兆欧,分别用、K、M表示。电阻器是电气、电子设备中用得最多的基本元件之一。主要用于控制和调节电路中的电流和电压,或用作消耗电能的负载。电阻器有不同的分类方法。按材料分,有碳膜电阻、水泥电阻、金属膜电阻和线绕电阻等不同类型;按功率分,

16、有 0.0625W、0.125W 、0.25W 、0.5W、1W、2W等额定功率的电阻;按电阻值的精确度分,有精确度为 5%、 10%、 20%等的普通电阻,还有精确度为 0.1%、 0.2%、 0.5%、 l%和 2%等的精密电阻。电阻的类别可以通过外观的标记识别。电阻器的种类有很多,通常分为三大类:固定电阻,可变电阻,特种电阻。在电子产品中,以固定电阻应用最多。而固定电阻以其制造材料又可分为好多类,但常用、常见的有RT型碳膜电阻、RJ型金属膜电阻、RX型线绕电阻,还有近年来开始广泛应用的片状电阻。型号命名很有规律,第一个字母R代表电阻;第二个字母的意义是:T碳膜,J金属,X线绕,这些符号是

17、汉语拼音的第一个字母。电阻是一个线性元件。说它是线性元件,是因为通过实验发现,在一定条件下,流经一个电阻的电流与电阻两端的电压成正比即它是符合欧姆定律:I=U/R常见的碳膜电阻或金属膜电阻器在温度恒定,且电压和电流值限制在额定条件之内时,可用线性电阻器来模拟。如果电压或电流值超过规定值,电阻器将因过热而不遵从欧姆定律,甚至还会被烧毁。线性电阻的工作电压与电流的关系如图1所示。 电阻的种类很多,通常分为碳膜电阻,金属电阻,线绕电阻等:它又包含固定电阻与可变电阻,光敏电阻,压敏电阻,热敏电阻等。通常来说,使用万用表可以很容易判断出电阻的好坏:将万用表调节在电阻挡的合适挡位,并将万用表的两个表笔放在

18、电阻的两端,就可以从万用表上读出电阻的阻值。3.2 电容电子制作中需要用到各种各样的电容器,它们在电路中分别起着不同的作用。与电阻器相似,电容器通常简称其为电容,用字母C表示。顾名思义,电容器就是“储存电荷的容器”。尽管电容器品种繁多,但它们的基本结构和原理是相同的。两片相距很近的金属中间被某绝缘物质(固体、气体或液体)所隔开,就构成了电容器。两片金属称为极板,中间的物质叫做介质。电容器也分为容量固定的与容量可变的。但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。不同的电容器储存电荷的能力也不相同。规定把电容器外加1伏特直流电压时所储存的电荷量称为该电容器的电容量。电容的基本单位为法拉

19、(F)。但实际上,法拉是一个很不常用的单位,因为电容器的容量往往比1法拉小得多,常用的电容单位有微法(F)、纳法(nF)和皮法(pF)(皮法又称微微法)等,它们的关系是:1法拉(F)= 1000000微法(F) 1微法(F)= 1000纳法(nF)= 1000000皮法(pF)。 在电子线路中,电容用来通过交流而阻隔直流,也用来存储和释放电荷以充当滤波器,平滑输出脉动信号。小容量的电容,通常在高频电路中使用,如收音机、发射机和振荡器中。大容量的电容往往是作滤波和存储电荷用。而且还有一个特点,一般1F以上的电容均为电解电容,而1F以下的电容多为瓷片电容,当然也有其他的,比如独石电容、涤纶电容、小

20、容量的云母电容等。电解电容有个铝壳,里面充满了电解质,并引出两个电极,作为正(+)、负(-)极,与其它电容器不同,它们在电路中的极性不能接错,而其他电容则没有极性。3.2.1 电容的分类电容器根据介质的不同可分为陶瓷、云母、纸质、薄膜、电解几种。陶瓷电容器:陶瓷电容器是用高介电常数的电容器陶瓷(钛酸钡一氧化钛)挤压成圆管、圆片或圆盘作为介质,并用烧渗法将银镀在陶瓷上作为电极制成。它又分高频瓷介和低频瓷介两种。云母电容器:就结构而言,可分为箔片式及被银式。纸质电容器 :纸质电容器在无线电、电子设备中应用很广,一般是用两条铝箔作为电极,中间以厚度为0.008 0.012 mm的电容器纸隔开重叠卷绕

21、而成。制造工艺简单,价格便宜,能得到较大的电容量,一般在0.25 F以下,但容量误差较大且不易控制,质量较好的是 10%,损耗较大(tan 0.015),温度频率特性稳定性较差。薄膜电容器:薄膜电容器的结构与纸质电容器相似,但用聚酯、聚苯乙烯等低损耗塑材作介质。电解电容器:用薄的氧化膜作介质的电容器。因为氧化膜有单向导电性质,所以电解电容器具有极性。铝电解电容器:用浸有糊状电解质的吸水纸夹在两条铝箔中间卷绕而成。普通铝电解电容器不适于在高频和低温下应用,不宜使用在25kHz以上频率,通常作低频旁路耦合和电源滤波用。3.2.2 电容值的表示方法(1) 直标法用数字和单位符号直接标出。如1uF表示

22、1微法,有些电容用“R”表示小数点,如R56表示0.56微法。(2) 文字符号法用数字和文字符号有规律的组合来表示容量。如p10表示0.1pF,1p0表示1pF,6P8表示6.8pF,2u2表示2.2uF。(3) 色标法用色环或色点表示电容器的主要参数。电容器的色标法与电阻相同。 电容器偏差标志符号:+100%-0-H、+100%-10%-R、+50%-10%-T、+30%-10%-Q、+50%-20%-S、+80%-20%-Z。(4) 数学计数法如瓷介电容,标值272,容量就是:27*100pF=2700pF.如果标值473,即为47*1000pF=0.047uF。(后面的2、3,都表示10

23、的多少次方)。又如:332=33*100pF=3300pF3.3 发光二极管它是半导体二极管的一种,可以把电能转化成光能;常简写为LED。发光二极管与普通二极管一样是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,从P区注入到N区的空穴和由N区注入到P区的电子,在PN结附近数微米内分别与N区的电子和P区的空穴复合,产生自发辐射的荧光。不同的半导体材料中电子和空穴所处的能量状态不同。当电子和空穴复合时释放出的能量多少不同,释放出的能量越多,则发出的光的波长越短。常用的是发红光、绿光或黄光的二极管。发光二极管的反向击穿电压约5伏。它的正向伏安特性曲线很陡,使用时必须串联限流电阻以

24、控制通过管子的电流。限流电阻R可用公式R(EUF)IF计算。发光二极管的核心部分是由P型半导体和N型半导体组成的晶片,在P型半导体和N型半导体之间有一个过渡层,称为PN结。在某些半导体材料的PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。PN结加反向电压,少数载流子难以注入,故不发光。这种利用注入式电致发光原理制作的二极管叫发光二极管,通称LED。 当它处于正向工作状态时(即两端加上正向电压),电流从LED阳极流向阴极时,半导体晶体就发出从紫外到红外不同颜色的光线,光的强弱与电流有关。普通单色发光二极管的发光颜色与发光的波长有关,而发光的

25、波长又取决于制造发光二极管所用的半导体材料。红色发光二极管的波长一般为650700nm,琥珀色发光二极管的波长一般为630650 nm ,橙色发光二极管的波长一般为610630 nm左右,黄色发光二极管的波长一般为585 nm左右,绿色发光二极管的波长一般为555570nm。3.4 芯片NE5553.4.1 内部组成框图它主要由两个高精度电压比较器A1、A2,一个RS触发器,一个放电三极管和三个5K电阻的分压器而构成。(如下图2所示)图2 内部组成框图3.4.2 引脚图及其功能VoVcoTHV。GNDVcc图3 引脚及其功能1脚:外接电源负端VSS或接地,一般情况下接地。2脚:低触发端3脚:输

26、出端Vo4脚:是直接清零端。当端接低电平,则时基电路不工作,此时不论、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。5脚:Vco为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01F电容接地,以防引入干扰。6脚:TH高触发端7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。8脚:外接电源Vcc,双极型时基电路VCC的范围是4.5 16V,CMOS型时基电路Vcc的范围为3 18V,一般用5V。3.4.3 功能介绍:在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为2/3V,1/3V的情况下,555时基电路的

27、功能表如下表2所示:表2 NE555功能表清零端高触发端TH低触发端Qn+1放电管T功能00导通直接清零10导通置011截止置11Qn不变保持3.4.4 555定时器构成的多谐振荡器工作原理接通电源后,假定V0是高电平,则T截止,电容C充电。充电回路是VCCR1R2C地,VC按指数规律上升,当VC上升到2/3VCC时(TH、端电平大于2/3VCC),输出V0翻转为低电平。V0是低电平,T导通,C放电,放电回路为CR2T地,VC按指数规律下降,当VC下降到1/3VCC时(TH、端电平小于1/3VCC),V0输出翻转为高电平,放电管T截止,电容再次充电,如此周而复始,产生振荡,经分析可得:输出高电

28、平时间 输出低电平时间 振荡周期 输出方波的占空比3.5 芯片74LS16174LS161 是常用的4位二进制同步计数器,在数字电路以及单片机系统中由非常广泛的应用。74LS161管脚图如下图4所示:图4 161管脚图74LS161功能表如表3所示: 表3 74LS161功能表RD LDCTT CTP CPD3 D2 D1 D0Q3 Q2 Q1 Q0L L L L LH L d3 d2 d1 d0d3 d2 d1 d0H HL 保 持H H L 保 持H HH H 计 数74LS161是常用的四位二进制可预置的同步加法计数器,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”

29、,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端P3,P2,P1,P0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0Q1Q2Q3CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制如下表4的任意进制分频器。 表4 74LS161组成的分频器输 入 输 出 CR CPLD EPETD3 D2 D1 D0 Q3 Q2 Q1 Q0 0 0 0 0 0 1 0

30、 d c b a d c b a 1 1 0 Q3 Q2 Q1 Q0 1 1 0 Q3 Q2 Q1 Q0 1 1 1 1 状态码加13.6 芯片74LS194一个触发器能存储一位二进制数,n位二进制数则需n个触发器来存储。当n位数据同时出现时称为并行数据,而n位数据按时间先后一位一位出现时称为串行数据。串行数据需要一个时钟信号来分辨每一个数据位。用n个触发器组成的n位移位寄存器可以用来寄存n位串行数据,可以实现串行数据到並行数据的转换,也可实现並行数据到串行数据的转换。移位寄存器通过组合电路组成的反馈电路能实现不同的计数功能,例如,环形计数器和扭环计数器等。在寄存器中存储的数据由低位向高位移动

31、一位时,即数据右移,例如二进数0011向高位移动一位变成0110,二进制数由3变为6。同理,数据由高位向低位移动称为左移,左移一位,数据相当于除2。因此移位寄存器有左移寄存器和右移寄存器之分。也有可逆移位寄存器,即在控制信号作用下,既可实行右移,也可实行左移。TTL集成移位寄存器74194是四位双向移位寄存器,具有并行寄存,左移寄存,右移寄存和保持四种工作模式,由M1M0端信号确定74194的工作模式。为低电平有效的清零端, DSR为右移串行输入端,DSL为左移串行输入端,D3D2D1D0为并行输入端。如(图5)方框符号 、(图6)管脚图所示: 7419418169CRDSRABCDGNDVC

32、CCPQDM1QAQBQCDSLM0Q3Q2Q1Q0M1M0CPD3D2D1D0CRDSRDSL74194 图5方框符号 图6 管脚图 74LS194的功能表如下表5所示:表5 74LS194功能表CRM1 M0DSL DSRCPD3 D2 D1 D0Q3 Q2 Q1 Q00 0 0 0 01 Q3 Q2 Q1 Q011 1 A B C D A B C D11 01 Q2 Q1 Q0 111 00 Q2 Q1 Q0 010 1 1 1 Q3 Q2 Q110 1 0 0 Q3 Q2 Q110 0 Q3 Q2 Q1 Q0由上表可以知道,74194在 端为低电平时具有异步清零功能。条件下,M1M0=

33、00时,寄存器实现保持(数据)功能;图 2(b)中QA作为寄存器高位输出,即QAQBQCQD=Q3Q2Q1Q0,M1M0=01时,寄存器实现右移功能,CP作用下,数据由高位向低位移动,右移输入端DSR数据移入Q3;M1M0=10时,寄存器实现左移功能,CP作用下,数据由低位向高位移动,左移输入端DSL数据移入Q0;M1M0=11时,寄存器实现并行输入(预置)功能,并行输入数据D3D2D1D0=ABCD寄存到Q端,时钟上跳后Q3Q2Q1Q0= D3D2D1D0=ABCD。3.7 芯片74LS0474LS04是6非门(反相器)他的工作电压5V,他的内部含有6个coms反相器。管脚图如下:图7 74

34、LS04管脚图3.8 芯片74LS0874LS0874LS08是2输入四正与门集成电路芯片,常用在各种功能的数字电路系统中,引脚功能图详见图8:图8 74LS08管脚图3.9 芯片74LS3274LS32是四2输入或门,常用用于各种数字电路以及单片机系统中。引脚功能图详见图9:图9 74LS32管脚图4 设计分析和设计电路4.1 基本CP脉冲发生电路由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。具体电路如图10所示:图10 脉冲发生电路555cp脉冲波形

35、图如图11所示:图11 脉冲波形4.2 花型控制电路花型的控制功能由两个74ls161(四位二进制同步计数器)。用两个161来作为记数模块,其中一片161作为低位,当其计数满进位时,产生脉冲使高位161开始计数,完成这一功能只需将低位161的进位端接在高位的使能端,便能将两块片子级联起来. 具体电路如图12所示:图12 控制电路计数器Q0、Q1、Q2、Q3、Q4输出波形分别为下图所示:图13 Q0输出波形图14 Q1输出波形 图15 Q2输出波形 图16 Q3输出波形 图17 Q4输出波形4.3 花型演示电路用两片74ls194实现,我设计的是四种八节拍的花型演示,因而并没有实现两个74ls1

36、94的级联,因为没有16节拍的花型,两个194间并不需要级联。具体电路如图18所示:图 18 花型演示电路4.4 总体电路图CP脉冲用555实现,要满足移存器控制端的条件,可用两个74LS161计数器(软件中只有163,故画图时用163代替161)。花型控制用两片194移位寄存器实现,总电路图如图19所示:图19 总体电路图5 硬件电路的安装和调试5.1 器件清单根据以上的分析,可以组装硬件电路,由工业控制计数器的原理图统计所需的器件,然后在面包板上完成。所需器件清单如表6:表6 清单表元器件名称件数发光二极管874LS161274LS1942NE5551电阻1k8电阻10k1电阻47k1电容

37、10F1电容0.47F1面包板1导线若干电源(+5V)15.2 安装与调试:由于元器件参数的分散性,装配工艺的影响,使得安装完毕的电子产品不能达到设计要求的性能指标,需要通过测试和调整来发现、纠正、弥补,使其达到预期的功能和技术指标,这就是电子电路的调试。5.2.1 元件的分类与检测在电子工业中,电子电路的安装与调试在电子工程技术中占有重要地位,它是把理论付诸于实践的进程,是把人们的主观设想转变为电路和电子设备的过程,是把设计转变为产品的过程。正是这一过程为电子技术在社会生活和生产实践应用中发挥巨大作用提供了现实性和可能性。当然,这一过程也是对理论设计做出检验、修改,使之更加完善的过程。安装之

38、前,一定要对元器件进行测试,参数的性能指标应满足设计要求,并留有裕量,要准确识别各元器件的引脚,以免出错造成人为故障甚至损坏元器件。电子电路的调试是利用符合指标要求的各种仪器,例如万用表、信号发生器、逻辑分析仪等各种测量仪器,对安装好的电路进行调整和测量,是判断性能好坏、各种指标是否符合设计要求的最后一关。因而,调整和测试必须遵守一定的测试方法并按一定的步骤进行。一般的测试步骤和方法如下:把经过准确测量的电源电压加入电路,但信号源暂不接入,电源接通之后不要急于测量数据和观察结果,首先要观察有无异常现象,包括有无冒烟,是否闻到异常气味,手摸元件是否发烫,电源是否有短路现象等。如果出现异常现象,应

39、立即关断电源,待排除故障后方可重新通电。然后再测量各元件引脚的电源电压,而不是只测量各路总电源电压,以保证元器件正常工作。调试包括测试和调整两个方面。测试是在安装后对电路的参数及工作状态进行测量,调整是指在测试的基础上对电路的参数进行修正,使之满足设计要求。为了使测试顺利进行,设计的电路图上应标出各点的电位值、相应的波形以及其它数据。测试方法有两种:第一种是采用边安装边调试的方法,也就是把复杂的电路按原理图上的功能分成块进行安装调试,在分块调试的基础上逐步扩大安装调试的范围,最后完成整机调试,这种方法称为分块调试。采用这种方法能及时发现问题,因此是常用的方法,对于新设计的电路更是如此。另一种方

40、法是整个电路安装完毕,实行一次性调试。这种方法适用于简单电路或定型产品。本文仅介绍分块调试。分块调试是把电路按功能分成不同的部分,把每个部分看成一个模块。比较理想的调试程序是按信号的流向进行,这样可以把前面调试过的输出信号作为后一级的输入信号,为最后的联调创造条件。分块调试包括静态调试和动态调试。(1) 静态调试:静态调试一般指没有外加信号的条件下测试电路各点的电位。如测模拟电路的静态工作点,数字电路的各输入、输出电平及逻辑关系等,测出的数据与设计值相比较,若超出范围,则应分析原因进行处理。(2) 动态调试:动态调试可以利用前级的输出信号为后级的输入信号,也可利用自身的信号检查功能块的各种指标

41、是否满足设计要求,包括信号幅值、波形的形状、相位关系、频率、放大倍数、输出动态范围等。模拟电路比较复杂,而对于数字电路来说,由于集成度比较高,一般调试工作量不太大,只要器件选择合适,直流工作状态正常,逻辑关系就不会有太大问题,一般是测试电平的转换和工作速度。调试过程中,不但要认真观察和测量,还要善于记录。包括记录观察的现象、测量的数据、波形及相位关系,必要时在记录中要附加说明,尤其是那些和设计不符的现象更是记录的重点。依据记录的数据才能把实际观察到的现象和理论预计的结果加以定量比较,从中发现电路设计和安装上的问题,加以改进, 进一步完善设计方案。安装和调试自始至终要有严谨的科学作风。出现故障时

42、要求认真查找故障原因,仔细作出判断,切不可一遇故障解决不了就拆掉线路重新安装。因为重新安装的线路仍然存在各种问题,况且原理上的问题不是重新安装就能解决的。5.2.2 电子电路的故障分析与处理在实验过程中,故障常常是不可避免的,分析和处理故障可以提高分析和解决问题的能力。分析和处理故障的过程就是从故障现象出发,通过反复测试,做出分析判断,逐步找出问题的过程。(1) 调试中出现故障的原因:实际电路与设计的原理图不符;元器件使用不当;设计的原理图本身不满足要求;误操作等。(2) 查找故障的通用方法:把合适的信号或某个模块的输出信号引到其它模块上,然后依次对每个模块进行测量,直到找到故障模块为止。查找

43、的顺序可以从输入到输出,也可以从输出到输入。找到故障模块后,要对该模块产生故障的原因进一步检查。查找模块内部故障原因的步骤:测量元器件引脚电源电压。使用面包板做实验出现故障时,要检查是否因引脚接触不良导致元器件本身没有正常工作。断开故障模块输出端所接的负载,可以判断故障来自模块本身或负载。检查安装的线路与原理图是否一致,包括连线、元件的极性及参数、集成电路的安装位置是否正确等。检查用于测量的仪器是否使用得当。检查元器件使用是否得当或者已经损坏。在实验中大量使用的是中规模集成电路,由于它的引出端较多,使用中有时会将引出端接错,从而造成故障。在电路中,由于安装前经过调试,元器件损坏的可能性很小,如

44、果怀疑某一元器件损坏,必须对它进行单独测试,对确已损坏的元器件予以更换。5.2.3 调试过程中遇到的问题(1) 一开始接触到这个题目,觉得无从下手,任何头绪都没有。但通过老师的启发我对这个课题有了初步的认识。接下来通过查资料和同学的帮助我有了初步设计思路。(2) 电路设计与软件设计是有很多相似之处的。比如分阶段,坚持进行阶段评审,模块化等。我这次没有把面包板完全拆掉重连一次,也得益于我有软件设计的思想,我是设计完全好并且通过仿真测试后才动手连电路的。(3) 线路布局。一开始接时没注意这个问题接了两个模块后线已经绕的十分复杂了,只好拆了重新进行合理布局。这样做以后线路整齐,板子美观。(4) 基本

45、CP脉冲的产生。由于开始不知道电解质电容有正负极,产生不了CP脉冲,请教老师后将两极对调,产生了CP脉冲。(5) 按模块检查。虽然仿真正确,可实际连线时还有可能板子或者芯片本身有问题,所以应该连好一个模块就检测,发现问题及时更换板子和芯片。(6) 插线要细心。接通电源后我发现到花型三时连接第二片194输出端的二极管没有花型变化。检查了好几遍电路都没有发现问题,后来让同学帮忙才发现给第二片194的置数端D接地时却将线插到了旁边的SL的孔里,从而导致左移补充端一直接低电平,而上一个状态又是全零,所以输出端一直是低电平,二极管自然没变化。所以,由于板子上的孔很多,插线时一定要细心,不要把相邻孔的线插

46、错,尤其在连线较多时。(7) 限流电阻。限流电阻不能太大,否则部分二极管可能就无法工作,这个问题是在仿真过程中发现的。心得体会 通过这次的毕业设计,我学会了很多东西。首先,使我进一步熟悉数字电路课程的知识,并将其实际应用,并且掌握了几种常用芯片的工作原理,熟记他们的真值表。更深刻地了解了74LS161,555振荡器等芯片的使用,主要是管角的连接。了解一般科学实验的基本步骤,学会用科学精神去处理实际问题,初步培养了科学严谨的工作作风和实事求是的工作态度。其次,电路的调试是一个十分重要的环节。大部分电路图接好后都不会一次性成功,都会或多或少的存在一些问题,毕竟理论和实际是有差距的。这时就要调试电路

47、,首先应该根据错误初步估计出错的地方和原因,是线路连接错误还是芯片或板子本身是坏的,当然还有别的原因,然后有针对性的检查电路,对错误进行改正。这里有必要说明一点,电路本身存在一个安全稳定性问题 , 所以在面包板上接线时,尽量用一根导线将输出和输入接通,因为导线中间每断一次都是电路的一个安全隐患。 再次,与同学和老师的交流让我获益匪浅。比如开始对实验的无从下手,面包板使用的模糊,以及后来设计和连线过程中遇到的很多细节上的问题,经过老师的指导和同学的帮助,都成功的的解决并取得了很好的效果。在帮同学检查电路错误的过程中我也获得了很多排查电路错误的理论方法和实际经验。另外,通过这次实习我的动手能力提高

48、了很多。以前只注重了理论学习,没有关注实践,也没有这样的机会,所以动手能力一直很差。比如在此之前的两次数电实验,我总是很快设计出了电路,可接下来的连线总是得不到理论得到的结果。有一次别的同学按我的电路图都连线成功了可我还没有成功,这充分体现出了理论和实际的脱节。而这次实习,弥补了我这个弱势,提高了我的动手能力,增加了自信。致辞在此论文完稿之际,我要深深的感谢梅仲云老师来对我的悉心指导和谆谆教诲。在我此篇论文的写作过程中,从论文题目的确定,提纲的拟定,初稿的形成,到论文最后的定稿,无处不凝聚着梅老师的心血。作为他的学生,我要对他严谨的治学态度和认真负责的工作精神表示崇高的敬意,并对他在繁忙的工作

49、中抽出宝贵时间悉心指导我的论文表示最诚挚的感谢。在本设计的设计制作过程中,我学会了学以致用,将所学的知识与实际应用相结合,更深的加固了基础,为以后的生活工作打下了坚实的基础,会成为以后生活中的坚实依据。作为即将走出学校的新一届毕业生,应当尽自己最大的努力完善自己,而这次毕业设计,让自己迈进了一大步,在这不断的进步,不断完善的过程中,把自己打造成一个合格的毕业生。参考文献、资料索引1江晓安 杨颂华编,数字电子技术,西安电子科技大学出版社,2002.5(2007.8重印)2李小箭 赵山林 龙怀冰编,Protel DXP电路设计于制版与实用教程,人民邮电出版社,2009.23付家才编,电子实验与实践,高等教育出版社,2004.64蔡明生编,电子设计,高等教育出版社,2006.85罗朝杰编,数字逻辑设计基础,人民邮电出版社,2008.46燕庆明编,电路分析教程高等教育出版社,2003.97徐光藻 陈洪亮编电路分析理论,电子科技大学出版社,2000.58 何希才编.常用集成电路简明速查手册,国防工业出版社,2006.422

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!