EDA课程设计报告

上传人:仙*** 文档编号:31773077 上传时间:2021-10-12 格式:DOC 页数:17 大小:535KB
收藏 版权申诉 举报 下载
EDA课程设计报告_第1页
第1页 / 共17页
EDA课程设计报告_第2页
第2页 / 共17页
EDA课程设计报告_第3页
第3页 / 共17页
资源描述:

《EDA课程设计报告》由会员分享,可在线阅读,更多相关《EDA课程设计报告(17页珍藏版)》请在装配图网上搜索。

1、 EDA课程设计报告设计题目一一设计要求1、有十只LED,L0L9;2、显示方式(初态为全亮);先奇数灯依次灭(灭的灯当下一个灯动作时,本灯回复原状态) 再偶数灯依次灭(同上)再由L0到L9依次灭(同上)3、显示间隔0.5s、1s可调。二、设计思路1用两片4-16译码器74154分别控制奇数,偶数灯依次灭和L0L9依次灭。2用16进制计数器74161连成11进制计数器,输出作为74154的输入和片选控制。3用三片10进制计数器74160连成183进制计数器,得到分频电路。并用一个T触发器修改占空比为1:2。4用一个JK触发器和一个控制端实现显示时间间隔可调。三、设计过程1.模块一 fenpin

2、电路图如下: 输入732HZ的CP信号,用三个74160连成183进制计数器再用一个T触发器调节占空比为1:2,得到2HZ的CP1。仿真图:2.模块二 xuanpin电路图如下:用一个JK触发器实现二分频,控制端M为低电频时,输出2HZ的脉冲;控制端M为高电频时,输出1HZ的脉冲。仿真图: 3模块三 jisu将16进制计数器连成11进制计数器,输出QA、QB、QC、QD作为模块kongzhi的输入,进位输出CONTROL作为模块kongzhi片选端输入。电路图如下仿真图:4.模块四 kongzhi灯L0,L1,L2,L3,L4,L5,L6,L7,L8,L9依次与10个与门连接。CONTROL为

3、0时第一片被选中,输入状态为00001010,输出Y0Y10依次为0,Y2Y10依次接与门3,5,7,9,0,2,4,6,8,YO取非和Y1用一个或门连接与门1,实现开始的全亮状态;CONTROL为1时第二片被选中,输入循环00001010,输出Y0Y10依次连接与门0,1,2,3,4,5,6,7,8,9。此电路在控制端的作用下实现了奇数灯依次灭,偶数灯依次灭, 。电路图如下:5.总电路图 huizong 仿真图四、硬件调试经下载,连线后,彩灯显示全亮状态,首次没有成功。检查发现CP连线接触不良。经调试后,彩灯按要求依次亮。结果符合题目要求,实验设计成功。设计题目二一.设计要求1.有八只LED

4、,L0L72.显示顺序如下表3.显示间隔为0.5S,1S可调。序号L0L1L2L3L4L5L6L701111111010111111121011111131101111141110111151111011161111101171111110181111111091111111110011111111100111111120001111113000011111400000111150000001116000000011700000000181000000019110000002011100000211111000022111110002311111100241111111025100000002

5、601000000270010000028000100002900001000300000010031000000103200000001二.设计思路1.用74161做23进制计数器进行分频分出8Hz;2.用数据选择器和十六进制加法计数器的分频功能做一个时间选择器,控制时间间隔分别为0.5s,1s可调。3.按彩灯的变化规律将整体变化情况分为四个部分,分别用移位寄存器实现。4.用两个74161做一个33进制计数器进行循环控制并用与、或、非门在结合计数器和数据选择器做控制电路对四个显示模块按题目要求进行选择控制,最终得出总的彩灯显示效果和时间可调要求。三.设计过程1.模块一 fenpin电路图如下

6、:输入732HZ的CP信号,用三个74160连成183进制计数器再用一个T触发器调节占空比为1:2,得到2HZ的CP1。仿真图:2.模块二 xuanpin电路图如下:用一个JK触发器实现二分频,控制端M为低电频时,输出2HZ的脉冲;控制端M为高电频时,输出1HZ的脉冲。仿真图: 1. 模块三 33jinzhi设计一个33进制的计数器对应题中的33种状从而能控制灯的变化。电路图如下:仿真图:4.模块四 彩灯显示部分number1-4对应题目中的33种状态把彩灯的变化分成四组分别用移位寄存器实现。从而可实现题目中的要求。电路图如下:Number1:Number2:Number3:Number4:5

7、.模块五 dizhixuanze 在每块变化电路的第一个状态译出置数信号,控制下面的地址选择,从而可实现彩灯变换部分的选择。电路图如下:仿真图:6.模块六 sijinzhi用74161做一个四进制加法计数器,分别制出0.1.2.3控制模块的输出,实现彩灯部分的顺序输出。电路图如下:7.模块七 pianxuan利用上面步骤六做出的四进制加法计数器控制用四片74153的选择端.实现彩灯四个部分的依次输出,从而实现设计。电路图如下:8.总电路:仿真结果:四.硬件调试我们在确定了仿真波形是正确的之后,就打开实验箱进行程序下载和连线。结果符合实验要求,实验成功!心得体会通过老师的实验演示,我们组基本明白

8、了Max-plus2软件的使用方法。在实验过程中可能出现一些问题,但通过老师的实验演示和清楚的强调,我们明白了在使用软件设计仿真过程中会遇到的问题和需要注意的地方。实验的思路很清晰,因为是第一次使用Max+plus2软件实现彩灯花样的设计,所以还需要经常练习,但基本已经清楚了其运用过程,在实验中我们也遇到了一些问题,通过请教老师,一步一步的深入寻找错误的源头,纠正错误。相信在下次运用此软件我们会避免出现类似的问题。这次的EDA课程设计给了我一次实践的机会,使我可以把学到的理论知识应用于实际。通过这次设计,我充分的认识到了自己在数字技术学习上的不足,对以前学过的知识有了更加深刻的认识,并且学到了许多书本上没有的知识。在设计电路的过程中我遇到了不少的麻烦,但通过自己的努力和老师,同学的帮助,最终都被解决了。最重要的是这次EDA课程设计培养了我们严谨的科学态度,一个小小的错误就会导致整个电路无法正常运行,不能有一丝的马虎。此次课程设计让我认识到高新技术的快速发展和应用,让我看到了EDA技术功能的强大,也让我认识到掌握他们的重要性,同时也看到了自己的差距与不足,我知道只有今后自己努力学习,拓宽自己的知识面,才能更好的掌握这项技术,也才能适应社会的发展。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!